i2c-algo-bit: Whitespace fixes (+ NAK/ARB comments)
[pandora-kernel.git] / drivers / i2c / algos / i2c-algo-bit.c
1 /* -------------------------------------------------------------------------
2  * i2c-algo-bit.c i2c driver algorithms for bit-shift adapters
3  * -------------------------------------------------------------------------
4  *   Copyright (C) 1995-2000 Simon G. Vogl
5
6     This program is free software; you can redistribute it and/or modify
7     it under the terms of the GNU General Public License as published by
8     the Free Software Foundation; either version 2 of the License, or
9     (at your option) any later version.
10
11     This program is distributed in the hope that it will be useful,
12     but WITHOUT ANY WARRANTY; without even the implied warranty of
13     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
14     GNU General Public License for more details.
15
16     You should have received a copy of the GNU General Public License
17     along with this program; if not, write to the Free Software
18     Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
19  * ------------------------------------------------------------------------- */
20
21 /* With some changes from Frodo Looijaard <frodol@dds.nl>, Kyösti Mälkki
22    <kmalkki@cc.hut.fi> and Jean Delvare <khali@linux-fr.org> */
23
24 #include <linux/kernel.h>
25 #include <linux/module.h>
26 #include <linux/delay.h>
27 #include <linux/slab.h>
28 #include <linux/init.h>
29 #include <linux/errno.h>
30 #include <linux/sched.h>
31 #include <linux/i2c.h>
32 #include <linux/i2c-algo-bit.h>
33
34
35 /* ----- global defines ----------------------------------------------- */
36
37 #ifdef DEBUG
38 #define bit_dbg(level, dev, format, args...) \
39         do { \
40                 if (i2c_debug >= level) \
41                         dev_dbg(dev, format, ##args); \
42         } while (0)
43 #else
44 #define bit_dbg(level, dev, format, args...) \
45         do {} while (0)
46 #endif /* DEBUG */
47
48 /* ----- global variables --------------------------------------------- */
49
50 static int bit_test;    /* see if the line-setting functions work       */
51 module_param(bit_test, bool, 0);
52 MODULE_PARM_DESC(bit_test, "Test the lines of the bus to see if it is stuck");
53
54 #ifdef DEBUG
55 static int i2c_debug = 1;
56 module_param(i2c_debug, int, S_IRUGO | S_IWUSR);
57 MODULE_PARM_DESC(i2c_debug,
58                  "debug level - 0 off; 1 normal; 2 verbose; 3 very verbose");
59 #endif
60
61 /* --- setting states on the bus with the right timing: --------------- */
62
63 #define setsda(adap, val)       adap->setsda(adap->data, val)
64 #define setscl(adap, val)       adap->setscl(adap->data, val)
65 #define getsda(adap)            adap->getsda(adap->data)
66 #define getscl(adap)            adap->getscl(adap->data)
67
68 static inline void sdalo(struct i2c_algo_bit_data *adap)
69 {
70         setsda(adap, 0);
71         udelay((adap->udelay + 1) / 2);
72 }
73
74 static inline void sdahi(struct i2c_algo_bit_data *adap)
75 {
76         setsda(adap, 1);
77         udelay((adap->udelay + 1) / 2);
78 }
79
80 static inline void scllo(struct i2c_algo_bit_data *adap)
81 {
82         setscl(adap, 0);
83         udelay(adap->udelay / 2);
84 }
85
86 /*
87  * Raise scl line, and do checking for delays. This is necessary for slower
88  * devices.
89  */
90 static int sclhi(struct i2c_algo_bit_data *adap)
91 {
92         unsigned long start;
93
94         setscl(adap, 1);
95
96         /* Not all adapters have scl sense line... */
97         if (!adap->getscl)
98                 goto done;
99
100         start = jiffies;
101         while (!getscl(adap)) {
102                 /* This hw knows how to read the clock line, so we wait
103                  * until it actually gets high.  This is safer as some
104                  * chips may hold it low ("clock stretching") while they
105                  * are processing data internally.
106                  */
107                 if (time_after_eq(jiffies, start + adap->timeout))
108                         return -ETIMEDOUT;
109                 cond_resched();
110         }
111 #ifdef DEBUG
112         if (jiffies != start && i2c_debug >= 3)
113                 pr_debug("i2c-algo-bit: needed %ld jiffies for SCL to go "
114                          "high\n", jiffies - start);
115 #endif
116
117 done:
118         udelay(adap->udelay);
119         return 0;
120 }
121
122
123 /* --- other auxiliary functions -------------------------------------- */
124 static void i2c_start(struct i2c_algo_bit_data *adap)
125 {
126         /* assert: scl, sda are high */
127         setsda(adap, 0);
128         udelay(adap->udelay);
129         scllo(adap);
130 }
131
132 static void i2c_repstart(struct i2c_algo_bit_data *adap)
133 {
134         /* assert: scl is low */
135         sdahi(adap);
136         sclhi(adap);
137         setsda(adap, 0);
138         udelay(adap->udelay);
139         scllo(adap);
140 }
141
142
143 static void i2c_stop(struct i2c_algo_bit_data *adap)
144 {
145         /* assert: scl is low */
146         sdalo(adap);
147         sclhi(adap);
148         setsda(adap, 1);
149         udelay(adap->udelay);
150 }
151
152
153
154 /* send a byte without start cond., look for arbitration,
155    check ackn. from slave */
156 /* returns:
157  * 1 if the device acknowledged
158  * 0 if the device did not ack
159  * -ETIMEDOUT if an error occurred (while raising the scl line)
160  */
161 static int i2c_outb(struct i2c_adapter *i2c_adap, unsigned char c)
162 {
163         int i;
164         int sb;
165         int ack;
166         struct i2c_algo_bit_data *adap = i2c_adap->algo_data;
167
168         /* assert: scl is low */
169         for (i = 7; i >= 0; i--) {
170                 sb = (c >> i) & 1;
171                 setsda(adap, sb);
172                 udelay((adap->udelay + 1) / 2);
173                 if (sclhi(adap) < 0) { /* timed out */
174                         bit_dbg(1, &i2c_adap->dev, "i2c_outb: 0x%02x, "
175                                 "timeout at bit #%d\n", (int)c, i);
176                         return -ETIMEDOUT;
177                 }
178                 /* FIXME do arbitration here:
179                  * if (sb && !getsda(adap)) -> ouch! Get out of here.
180                  *
181                  * Report a unique code, so higher level code can retry
182                  * the whole (combined) message and *NOT* issue STOP.
183                  */
184                 scllo(adap);
185         }
186         sdahi(adap);
187         if (sclhi(adap) < 0) { /* timeout */
188                 bit_dbg(1, &i2c_adap->dev, "i2c_outb: 0x%02x, "
189                         "timeout at ack\n", (int)c);
190                 return -ETIMEDOUT;
191         }
192
193         /* read ack: SDA should be pulled down by slave, or it may
194          * NAK (usually to report problems with the data we wrote).
195          */
196         ack = !getsda(adap);    /* ack: sda is pulled low -> success */
197         bit_dbg(2, &i2c_adap->dev, "i2c_outb: 0x%02x %s\n", (int)c,
198                 ack ? "A" : "NA");
199
200         scllo(adap);
201         return ack;
202         /* assert: scl is low (sda undef) */
203 }
204
205
206 static int i2c_inb(struct i2c_adapter *i2c_adap)
207 {
208         /* read byte via i2c port, without start/stop sequence  */
209         /* acknowledge is sent in i2c_read.                     */
210         int i;
211         unsigned char indata = 0;
212         struct i2c_algo_bit_data *adap = i2c_adap->algo_data;
213
214         /* assert: scl is low */
215         sdahi(adap);
216         for (i = 0; i < 8; i++) {
217                 if (sclhi(adap) < 0) { /* timeout */
218                         bit_dbg(1, &i2c_adap->dev, "i2c_inb: timeout at bit "
219                                 "#%d\n", 7 - i);
220                         return -ETIMEDOUT;
221                 }
222                 indata *= 2;
223                 if (getsda(adap))
224                         indata |= 0x01;
225                 setscl(adap, 0);
226                 udelay(i == 7 ? adap->udelay / 2 : adap->udelay);
227         }
228         /* assert: scl is low */
229         return indata;
230 }
231
232 /*
233  * Sanity check for the adapter hardware - check the reaction of
234  * the bus lines only if it seems to be idle.
235  */
236 static int test_bus(struct i2c_algo_bit_data *adap, char *name)
237 {
238         int scl, sda;
239
240         if (adap->getscl == NULL)
241                 pr_info("%s: Testing SDA only, SCL is not readable\n", name);
242
243         sda = getsda(adap);
244         scl = (adap->getscl == NULL) ? 1 : getscl(adap);
245         if (!scl || !sda) {
246                 printk(KERN_WARNING "%s: bus seems to be busy\n", name);
247                 goto bailout;
248         }
249
250         sdalo(adap);
251         sda = getsda(adap);
252         scl = (adap->getscl == NULL) ? 1 : getscl(adap);
253         if (sda) {
254                 printk(KERN_WARNING "%s: SDA stuck high!\n", name);
255                 goto bailout;
256         }
257         if (!scl) {
258                 printk(KERN_WARNING "%s: SCL unexpected low "
259                        "while pulling SDA low!\n", name);
260                 goto bailout;
261         }
262
263         sdahi(adap);
264         sda = getsda(adap);
265         scl = (adap->getscl == NULL) ? 1 : getscl(adap);
266         if (!sda) {
267                 printk(KERN_WARNING "%s: SDA stuck low!\n", name);
268                 goto bailout;
269         }
270         if (!scl) {
271                 printk(KERN_WARNING "%s: SCL unexpected low "
272                        "while pulling SDA high!\n", name);
273                 goto bailout;
274         }
275
276         scllo(adap);
277         sda = getsda(adap);
278         scl = (adap->getscl == NULL) ? 0 : getscl(adap);
279         if (scl) {
280                 printk(KERN_WARNING "%s: SCL stuck high!\n", name);
281                 goto bailout;
282         }
283         if (!sda) {
284                 printk(KERN_WARNING "%s: SDA unexpected low "
285                        "while pulling SCL low!\n", name);
286                 goto bailout;
287         }
288
289         sclhi(adap);
290         sda = getsda(adap);
291         scl = (adap->getscl == NULL) ? 1 : getscl(adap);
292         if (!scl) {
293                 printk(KERN_WARNING "%s: SCL stuck low!\n", name);
294                 goto bailout;
295         }
296         if (!sda) {
297                 printk(KERN_WARNING "%s: SDA unexpected low "
298                        "while pulling SCL high!\n", name);
299                 goto bailout;
300         }
301         pr_info("%s: Test OK\n", name);
302         return 0;
303 bailout:
304         sdahi(adap);
305         sclhi(adap);
306         return -ENODEV;
307 }
308
309 /* ----- Utility functions
310  */
311
312 /* try_address tries to contact a chip for a number of
313  * times before it gives up.
314  * return values:
315  * 1 chip answered
316  * 0 chip did not answer
317  * -x transmission error
318  */
319 static int try_address(struct i2c_adapter *i2c_adap,
320                        unsigned char addr, int retries)
321 {
322         struct i2c_algo_bit_data *adap = i2c_adap->algo_data;
323         int i, ret = -1;
324
325         for (i = 0; i <= retries; i++) {
326                 ret = i2c_outb(i2c_adap, addr);
327                 if (ret == 1 || i == retries)
328                         break;
329                 bit_dbg(3, &i2c_adap->dev, "emitting stop condition\n");
330                 i2c_stop(adap);
331                 udelay(adap->udelay);
332                 yield();
333                 bit_dbg(3, &i2c_adap->dev, "emitting start condition\n");
334                 i2c_start(adap);
335         }
336         if (i && ret)
337                 bit_dbg(1, &i2c_adap->dev, "Used %d tries to %s client at "
338                         "0x%02x: %s\n", i + 1,
339                         addr & 1 ? "read from" : "write to", addr >> 1,
340                         ret == 1 ? "success" : "failed, timeout?");
341         return ret;
342 }
343
344 static int sendbytes(struct i2c_adapter *i2c_adap, struct i2c_msg *msg)
345 {
346         const unsigned char *temp = msg->buf;
347         int count = msg->len;
348         unsigned short nak_ok = msg->flags & I2C_M_IGNORE_NAK;
349         int retval;
350         int wrcount = 0;
351
352         while (count > 0) {
353                 retval = i2c_outb(i2c_adap, *temp);
354
355                 /* OK/ACK; or ignored NAK */
356                 if ((retval > 0) || (nak_ok && (retval == 0))) {
357                         count--;
358                         temp++;
359                         wrcount++;
360                 } else { /* arbitration or no acknowledge */
361                         dev_err(&i2c_adap->dev, "sendbytes: error - bailout.\n");
362                         return (retval<0)? retval : -EFAULT;
363                                 /* got a better one ?? */
364                 }
365         }
366         return wrcount;
367 }
368
369 static int acknak(struct i2c_adapter *i2c_adap, int is_ack)
370 {
371         struct i2c_algo_bit_data *adap = i2c_adap->algo_data;
372
373         /* assert: sda is high */
374         if (is_ack)             /* send ack */
375                 setsda(adap, 0);
376         udelay((adap->udelay + 1) / 2);
377         if (sclhi(adap) < 0) {  /* timeout */
378                 dev_err(&i2c_adap->dev, "readbytes: ack/nak timeout\n");
379                 return -ETIMEDOUT;
380         }
381         scllo(adap);
382         return 0;
383 }
384
385 static int readbytes(struct i2c_adapter *i2c_adap, struct i2c_msg *msg)
386 {
387         int inval;
388         int rdcount = 0;        /* counts bytes read */
389         unsigned char *temp = msg->buf;
390         int count = msg->len;
391         const unsigned flags = msg->flags;
392
393         while (count > 0) {
394                 inval = i2c_inb(i2c_adap);
395                 if (inval >= 0) {
396                         *temp = inval;
397                         rdcount++;
398                 } else {   /* read timed out */
399                         break;
400                 }
401
402                 temp++;
403                 count--;
404
405                 /* Some SMBus transactions require that we receive the
406                    transaction length as the first read byte. */
407                 if (rdcount == 1 && (flags & I2C_M_RECV_LEN)) {
408                         if (inval <= 0 || inval > I2C_SMBUS_BLOCK_MAX) {
409                                 if (!(flags & I2C_M_NO_RD_ACK))
410                                         acknak(i2c_adap, 0);
411                                 dev_err(&i2c_adap->dev, "readbytes: invalid "
412                                         "block length (%d)\n", inval);
413                                 return -EREMOTEIO;
414                         }
415                         /* The original count value accounts for the extra
416                            bytes, that is, either 1 for a regular transaction,
417                            or 2 for a PEC transaction. */
418                         count += inval;
419                         msg->len += inval;
420                 }
421
422                 bit_dbg(2, &i2c_adap->dev, "readbytes: 0x%02x %s\n",
423                         inval,
424                         (flags & I2C_M_NO_RD_ACK)
425                                 ? "(no ack/nak)"
426                                 : (count ? "A" : "NA"));
427
428                 if (!(flags & I2C_M_NO_RD_ACK)) {
429                         inval = acknak(i2c_adap, count);
430                         if (inval < 0)
431                                 return inval;
432                 }
433         }
434         return rdcount;
435 }
436
437 /* doAddress initiates the transfer by generating the start condition (in
438  * try_address) and transmits the address in the necessary format to handle
439  * reads, writes as well as 10bit-addresses.
440  * returns:
441  *  0 everything went okay, the chip ack'ed, or IGNORE_NAK flag was set
442  * -x an error occurred (like: -EREMOTEIO if the device did not answer, or
443  *      -ETIMEDOUT, for example if the lines are stuck...)
444  */
445 static int bit_doAddress(struct i2c_adapter *i2c_adap, struct i2c_msg *msg)
446 {
447         unsigned short flags = msg->flags;
448         unsigned short nak_ok = msg->flags & I2C_M_IGNORE_NAK;
449         struct i2c_algo_bit_data *adap = i2c_adap->algo_data;
450
451         unsigned char addr;
452         int ret, retries;
453
454         retries = nak_ok ? 0 : i2c_adap->retries;
455
456         if (flags & I2C_M_TEN) {
457                 /* a ten bit address */
458                 addr = 0xf0 | ((msg->addr >> 7) & 0x03);
459                 bit_dbg(2, &i2c_adap->dev, "addr0: %d\n", addr);
460                 /* try extended address code...*/
461                 ret = try_address(i2c_adap, addr, retries);
462                 if ((ret != 1) && !nak_ok)  {
463                         dev_err(&i2c_adap->dev,
464                                 "died at extended address code\n");
465                         return -EREMOTEIO;
466                 }
467                 /* the remaining 8 bit address */
468                 ret = i2c_outb(i2c_adap, msg->addr & 0x7f);
469                 if ((ret != 1) && !nak_ok) {
470                         /* the chip did not ack / xmission error occurred */
471                         dev_err(&i2c_adap->dev, "died at 2nd address code\n");
472                         return -EREMOTEIO;
473                 }
474                 if (flags & I2C_M_RD) {
475                         bit_dbg(3, &i2c_adap->dev, "emitting repeated "
476                                 "start condition\n");
477                         i2c_repstart(adap);
478                         /* okay, now switch into reading mode */
479                         addr |= 0x01;
480                         ret = try_address(i2c_adap, addr, retries);
481                         if ((ret != 1) && !nak_ok) {
482                                 dev_err(&i2c_adap->dev,
483                                         "died at repeated address code\n");
484                                 return -EREMOTEIO;
485                         }
486                 }
487         } else {                /* normal 7bit address  */
488                 addr = msg->addr << 1;
489                 if (flags & I2C_M_RD)
490                         addr |= 1;
491                 if (flags & I2C_M_REV_DIR_ADDR)
492                         addr ^= 1;
493                 ret = try_address(i2c_adap, addr, retries);
494                 if ((ret != 1) && !nak_ok)
495                         return -EREMOTEIO;
496         }
497
498         return 0;
499 }
500
501 static int bit_xfer(struct i2c_adapter *i2c_adap,
502                     struct i2c_msg msgs[], int num)
503 {
504         struct i2c_msg *pmsg;
505         struct i2c_algo_bit_data *adap = i2c_adap->algo_data;
506         int i, ret;
507         unsigned short nak_ok;
508
509         bit_dbg(3, &i2c_adap->dev, "emitting start condition\n");
510         i2c_start(adap);
511         for (i = 0; i < num; i++) {
512                 pmsg = &msgs[i];
513                 nak_ok = pmsg->flags & I2C_M_IGNORE_NAK;
514                 if (!(pmsg->flags & I2C_M_NOSTART)) {
515                         if (i) {
516                                 bit_dbg(3, &i2c_adap->dev, "emitting "
517                                         "repeated start condition\n");
518                                 i2c_repstart(adap);
519                         }
520                         ret = bit_doAddress(i2c_adap, pmsg);
521                         if ((ret != 0) && !nak_ok) {
522                                 bit_dbg(1, &i2c_adap->dev, "NAK from "
523                                         "device addr 0x%02x msg #%d\n",
524                                         msgs[i].addr, i);
525                                 goto bailout;
526                         }
527                 }
528                 if (pmsg->flags & I2C_M_RD) {
529                         /* read bytes into buffer*/
530                         ret = readbytes(i2c_adap, pmsg);
531                         if (ret >= 1)
532                                 bit_dbg(2, &i2c_adap->dev, "read %d byte%s\n",
533                                         ret, ret == 1 ? "" : "s");
534                         if (ret < pmsg->len) {
535                                 if (ret >= 0)
536                                         ret = -EREMOTEIO;
537                                 goto bailout;
538                         }
539                 } else {
540                         /* write bytes from buffer */
541                         ret = sendbytes(i2c_adap, pmsg);
542                         if (ret >= 1)
543                                 bit_dbg(2, &i2c_adap->dev, "wrote %d byte%s\n",
544                                         ret, ret == 1 ? "" : "s");
545                         if (ret < pmsg->len) {
546                                 if (ret >= 0)
547                                         ret = -EREMOTEIO;
548                                 goto bailout;
549                         }
550                 }
551         }
552         ret = i;
553
554 bailout:
555         bit_dbg(3, &i2c_adap->dev, "emitting stop condition\n");
556         i2c_stop(adap);
557         return ret;
558 }
559
560 static u32 bit_func(struct i2c_adapter *adap)
561 {
562         return I2C_FUNC_I2C | I2C_FUNC_SMBUS_EMUL |
563                I2C_FUNC_SMBUS_READ_BLOCK_DATA |
564                I2C_FUNC_SMBUS_BLOCK_PROC_CALL |
565                I2C_FUNC_10BIT_ADDR | I2C_FUNC_PROTOCOL_MANGLING;
566 }
567
568
569 /* -----exported algorithm data: -------------------------------------  */
570
571 static const struct i2c_algorithm i2c_bit_algo = {
572         .master_xfer    = bit_xfer,
573         .functionality  = bit_func,
574 };
575
576 /*
577  * registering functions to load algorithms at runtime
578  */
579 static int i2c_bit_prepare_bus(struct i2c_adapter *adap)
580 {
581         struct i2c_algo_bit_data *bit_adap = adap->algo_data;
582
583         if (bit_test) {
584                 int ret = test_bus(bit_adap, adap->name);
585                 if (ret < 0)
586                         return -ENODEV;
587         }
588
589         /* register new adapter to i2c module... */
590         adap->algo = &i2c_bit_algo;
591
592         adap->timeout = 100;    /* default values, should       */
593         adap->retries = 3;      /* be replaced by defines       */
594
595         return 0;
596 }
597
598 int i2c_bit_add_bus(struct i2c_adapter *adap)
599 {
600         int err;
601
602         err = i2c_bit_prepare_bus(adap);
603         if (err)
604                 return err;
605
606         return i2c_add_adapter(adap);
607 }
608 EXPORT_SYMBOL(i2c_bit_add_bus);
609
610 int i2c_bit_add_numbered_bus(struct i2c_adapter *adap)
611 {
612         int err;
613
614         err = i2c_bit_prepare_bus(adap);
615         if (err)
616                 return err;
617
618         return i2c_add_numbered_adapter(adap);
619 }
620 EXPORT_SYMBOL(i2c_bit_add_numbered_bus);
621
622 MODULE_AUTHOR("Simon G. Vogl <simon@tk.uni-linz.ac.at>");
623 MODULE_DESCRIPTION("I2C-Bus bit-banging algorithm");
624 MODULE_LICENSE("GPL");