sh: Fix unaligned memory access for branches without delay slots
[pandora-kernel.git] / arch / sh / kernel / traps_32.c
1 /*
2  * 'traps.c' handles hardware traps and faults after we have saved some
3  * state in 'entry.S'.
4  *
5  *  SuperH version: Copyright (C) 1999 Niibe Yutaka
6  *                  Copyright (C) 2000 Philipp Rumpf
7  *                  Copyright (C) 2000 David Howells
8  *                  Copyright (C) 2002 - 2010 Paul Mundt
9  *
10  * This file is subject to the terms and conditions of the GNU General Public
11  * License.  See the file "COPYING" in the main directory of this archive
12  * for more details.
13  */
14 #include <linux/kernel.h>
15 #include <linux/ptrace.h>
16 #include <linux/hardirq.h>
17 #include <linux/init.h>
18 #include <linux/spinlock.h>
19 #include <linux/module.h>
20 #include <linux/kallsyms.h>
21 #include <linux/io.h>
22 #include <linux/bug.h>
23 #include <linux/debug_locks.h>
24 #include <linux/kdebug.h>
25 #include <linux/kexec.h>
26 #include <linux/limits.h>
27 #include <linux/sysfs.h>
28 #include <linux/uaccess.h>
29 #include <linux/perf_event.h>
30 #include <asm/system.h>
31 #include <asm/alignment.h>
32 #include <asm/fpu.h>
33 #include <asm/kprobes.h>
34
35 #ifdef CONFIG_CPU_SH2
36 # define TRAP_RESERVED_INST     4
37 # define TRAP_ILLEGAL_SLOT_INST 6
38 # define TRAP_ADDRESS_ERROR     9
39 # ifdef CONFIG_CPU_SH2A
40 #  define TRAP_UBC              12
41 #  define TRAP_FPU_ERROR        13
42 #  define TRAP_DIVZERO_ERROR    17
43 #  define TRAP_DIVOVF_ERROR     18
44 # endif
45 #else
46 #define TRAP_RESERVED_INST      12
47 #define TRAP_ILLEGAL_SLOT_INST  13
48 #endif
49
50 static void dump_mem(const char *str, unsigned long bottom, unsigned long top)
51 {
52         unsigned long p;
53         int i;
54
55         printk("%s(0x%08lx to 0x%08lx)\n", str, bottom, top);
56
57         for (p = bottom & ~31; p < top; ) {
58                 printk("%04lx: ", p & 0xffff);
59
60                 for (i = 0; i < 8; i++, p += 4) {
61                         unsigned int val;
62
63                         if (p < bottom || p >= top)
64                                 printk("         ");
65                         else {
66                                 if (__get_user(val, (unsigned int __user *)p)) {
67                                         printk("\n");
68                                         return;
69                                 }
70                                 printk("%08x ", val);
71                         }
72                 }
73                 printk("\n");
74         }
75 }
76
77 static DEFINE_SPINLOCK(die_lock);
78
79 void die(const char * str, struct pt_regs * regs, long err)
80 {
81         static int die_counter;
82
83         oops_enter();
84
85         spin_lock_irq(&die_lock);
86         console_verbose();
87         bust_spinlocks(1);
88
89         printk("%s: %04lx [#%d]\n", str, err & 0xffff, ++die_counter);
90         print_modules();
91         show_regs(regs);
92
93         printk("Process: %s (pid: %d, stack limit = %p)\n", current->comm,
94                         task_pid_nr(current), task_stack_page(current) + 1);
95
96         if (!user_mode(regs) || in_interrupt())
97                 dump_mem("Stack: ", regs->regs[15], THREAD_SIZE +
98                          (unsigned long)task_stack_page(current));
99
100         notify_die(DIE_OOPS, str, regs, err, 255, SIGSEGV);
101
102         bust_spinlocks(0);
103         add_taint(TAINT_DIE);
104         spin_unlock_irq(&die_lock);
105         oops_exit();
106
107         if (kexec_should_crash(current))
108                 crash_kexec(regs);
109
110         if (in_interrupt())
111                 panic("Fatal exception in interrupt");
112
113         if (panic_on_oops)
114                 panic("Fatal exception");
115
116         do_exit(SIGSEGV);
117 }
118
119 static inline void die_if_kernel(const char *str, struct pt_regs *regs,
120                                  long err)
121 {
122         if (!user_mode(regs))
123                 die(str, regs, err);
124 }
125
126 /*
127  * try and fix up kernelspace address errors
128  * - userspace errors just cause EFAULT to be returned, resulting in SEGV
129  * - kernel/userspace interfaces cause a jump to an appropriate handler
130  * - other kernel errors are bad
131  */
132 static void die_if_no_fixup(const char * str, struct pt_regs * regs, long err)
133 {
134         if (!user_mode(regs)) {
135                 const struct exception_table_entry *fixup;
136                 fixup = search_exception_tables(regs->pc);
137                 if (fixup) {
138                         regs->pc = fixup->fixup;
139                         return;
140                 }
141
142                 die(str, regs, err);
143         }
144 }
145
146 static inline void sign_extend(unsigned int count, unsigned char *dst)
147 {
148 #ifdef __LITTLE_ENDIAN__
149         if ((count == 1) && dst[0] & 0x80) {
150                 dst[1] = 0xff;
151                 dst[2] = 0xff;
152                 dst[3] = 0xff;
153         }
154         if ((count == 2) && dst[1] & 0x80) {
155                 dst[2] = 0xff;
156                 dst[3] = 0xff;
157         }
158 #else
159         if ((count == 1) && dst[3] & 0x80) {
160                 dst[2] = 0xff;
161                 dst[1] = 0xff;
162                 dst[0] = 0xff;
163         }
164         if ((count == 2) && dst[2] & 0x80) {
165                 dst[1] = 0xff;
166                 dst[0] = 0xff;
167         }
168 #endif
169 }
170
171 static struct mem_access user_mem_access = {
172         copy_from_user,
173         copy_to_user,
174 };
175
176 /*
177  * handle an instruction that does an unaligned memory access by emulating the
178  * desired behaviour
179  * - note that PC _may not_ point to the faulting instruction
180  *   (if that instruction is in a branch delay slot)
181  * - return 0 if emulation okay, -EFAULT on existential error
182  */
183 static int handle_unaligned_ins(insn_size_t instruction, struct pt_regs *regs,
184                                 struct mem_access *ma)
185 {
186         int ret, index, count;
187         unsigned long *rm, *rn;
188         unsigned char *src, *dst;
189         unsigned char __user *srcu, *dstu;
190
191         index = (instruction>>8)&15;    /* 0x0F00 */
192         rn = &regs->regs[index];
193
194         index = (instruction>>4)&15;    /* 0x00F0 */
195         rm = &regs->regs[index];
196
197         count = 1<<(instruction&3);
198
199         switch (count) {
200         case 1: inc_unaligned_byte_access(); break;
201         case 2: inc_unaligned_word_access(); break;
202         case 4: inc_unaligned_dword_access(); break;
203         case 8: inc_unaligned_multi_access(); break;
204         }
205
206         ret = -EFAULT;
207         switch (instruction>>12) {
208         case 0: /* mov.[bwl] to/from memory via r0+rn */
209                 if (instruction & 8) {
210                         /* from memory */
211                         srcu = (unsigned char __user *)*rm;
212                         srcu += regs->regs[0];
213                         dst = (unsigned char *)rn;
214                         *(unsigned long *)dst = 0;
215
216 #if !defined(__LITTLE_ENDIAN__)
217                         dst += 4-count;
218 #endif
219                         if (ma->from(dst, srcu, count))
220                                 goto fetch_fault;
221
222                         sign_extend(count, dst);
223                 } else {
224                         /* to memory */
225                         src = (unsigned char *)rm;
226 #if !defined(__LITTLE_ENDIAN__)
227                         src += 4-count;
228 #endif
229                         dstu = (unsigned char __user *)*rn;
230                         dstu += regs->regs[0];
231
232                         if (ma->to(dstu, src, count))
233                                 goto fetch_fault;
234                 }
235                 ret = 0;
236                 break;
237
238         case 1: /* mov.l Rm,@(disp,Rn) */
239                 src = (unsigned char*) rm;
240                 dstu = (unsigned char __user *)*rn;
241                 dstu += (instruction&0x000F)<<2;
242
243                 if (ma->to(dstu, src, 4))
244                         goto fetch_fault;
245                 ret = 0;
246                 break;
247
248         case 2: /* mov.[bwl] to memory, possibly with pre-decrement */
249                 if (instruction & 4)
250                         *rn -= count;
251                 src = (unsigned char*) rm;
252                 dstu = (unsigned char __user *)*rn;
253 #if !defined(__LITTLE_ENDIAN__)
254                 src += 4-count;
255 #endif
256                 if (ma->to(dstu, src, count))
257                         goto fetch_fault;
258                 ret = 0;
259                 break;
260
261         case 5: /* mov.l @(disp,Rm),Rn */
262                 srcu = (unsigned char __user *)*rm;
263                 srcu += (instruction & 0x000F) << 2;
264                 dst = (unsigned char *)rn;
265                 *(unsigned long *)dst = 0;
266
267                 if (ma->from(dst, srcu, 4))
268                         goto fetch_fault;
269                 ret = 0;
270                 break;
271
272         case 6: /* mov.[bwl] from memory, possibly with post-increment */
273                 srcu = (unsigned char __user *)*rm;
274                 if (instruction & 4)
275                         *rm += count;
276                 dst = (unsigned char*) rn;
277                 *(unsigned long*)dst = 0;
278
279 #if !defined(__LITTLE_ENDIAN__)
280                 dst += 4-count;
281 #endif
282                 if (ma->from(dst, srcu, count))
283                         goto fetch_fault;
284                 sign_extend(count, dst);
285                 ret = 0;
286                 break;
287
288         case 8:
289                 switch ((instruction&0xFF00)>>8) {
290                 case 0x81: /* mov.w R0,@(disp,Rn) */
291                         src = (unsigned char *) &regs->regs[0];
292 #if !defined(__LITTLE_ENDIAN__)
293                         src += 2;
294 #endif
295                         dstu = (unsigned char __user *)*rm; /* called Rn in the spec */
296                         dstu += (instruction & 0x000F) << 1;
297
298                         if (ma->to(dstu, src, 2))
299                                 goto fetch_fault;
300                         ret = 0;
301                         break;
302
303                 case 0x85: /* mov.w @(disp,Rm),R0 */
304                         srcu = (unsigned char __user *)*rm;
305                         srcu += (instruction & 0x000F) << 1;
306                         dst = (unsigned char *) &regs->regs[0];
307                         *(unsigned long *)dst = 0;
308
309 #if !defined(__LITTLE_ENDIAN__)
310                         dst += 2;
311 #endif
312                         if (ma->from(dst, srcu, 2))
313                                 goto fetch_fault;
314                         sign_extend(2, dst);
315                         ret = 0;
316                         break;
317                 }
318                 break;
319         }
320         return ret;
321
322  fetch_fault:
323         /* Argh. Address not only misaligned but also non-existent.
324          * Raise an EFAULT and see if it's trapped
325          */
326         die_if_no_fixup("Fault in unaligned fixup", regs, 0);
327         return -EFAULT;
328 }
329
330 /*
331  * emulate the instruction in the delay slot
332  * - fetches the instruction from PC+2
333  */
334 static inline int handle_delayslot(struct pt_regs *regs,
335                                    insn_size_t old_instruction,
336                                    struct mem_access *ma)
337 {
338         insn_size_t instruction;
339         void __user *addr = (void __user *)(regs->pc +
340                 instruction_size(old_instruction));
341
342         if (copy_from_user(&instruction, addr, sizeof(instruction))) {
343                 /* the instruction-fetch faulted */
344                 if (user_mode(regs))
345                         return -EFAULT;
346
347                 /* kernel */
348                 die("delay-slot-insn faulting in handle_unaligned_delayslot",
349                     regs, 0);
350         }
351
352         return handle_unaligned_ins(instruction, regs, ma);
353 }
354
355 /*
356  * handle an instruction that does an unaligned memory access
357  * - have to be careful of branch delay-slot instructions that fault
358  *  SH3:
359  *   - if the branch would be taken PC points to the branch
360  *   - if the branch would not be taken, PC points to delay-slot
361  *  SH4:
362  *   - PC always points to delayed branch
363  * - return 0 if handled, -EFAULT if failed (may not return if in kernel)
364  */
365
366 /* Macros to determine offset from current PC for branch instructions */
367 /* Explicit type coercion is used to force sign extension where needed */
368 #define SH_PC_8BIT_OFFSET(instr) ((((signed char)(instr))*2) + 4)
369 #define SH_PC_12BIT_OFFSET(instr) ((((signed short)(instr<<4))>>3) + 4)
370
371 int handle_unaligned_access(insn_size_t instruction, struct pt_regs *regs,
372                             struct mem_access *ma, int expected,
373                             unsigned long address)
374 {
375         u_int rm;
376         int ret, index;
377
378         /*
379          * XXX: We can't handle mixed 16/32-bit instructions yet
380          */
381         if (instruction_size(instruction) != 2)
382                 return -EINVAL;
383
384         index = (instruction>>8)&15;    /* 0x0F00 */
385         rm = regs->regs[index];
386
387         /*
388          * Log the unexpected fixups, and then pass them on to perf.
389          *
390          * We intentionally don't report the expected cases to perf as
391          * otherwise the trapped I/O case will skew the results too much
392          * to be useful.
393          */
394         if (!expected) {
395                 unaligned_fixups_notify(current, instruction, regs);
396                 perf_sw_event(PERF_COUNT_SW_ALIGNMENT_FAULTS, 1,
397                               regs, address);
398         }
399
400         ret = -EFAULT;
401         switch (instruction&0xF000) {
402         case 0x0000:
403                 if (instruction==0x000B) {
404                         /* rts */
405                         ret = handle_delayslot(regs, instruction, ma);
406                         if (ret==0)
407                                 regs->pc = regs->pr;
408                 }
409                 else if ((instruction&0x00FF)==0x0023) {
410                         /* braf @Rm */
411                         ret = handle_delayslot(regs, instruction, ma);
412                         if (ret==0)
413                                 regs->pc += rm + 4;
414                 }
415                 else if ((instruction&0x00FF)==0x0003) {
416                         /* bsrf @Rm */
417                         ret = handle_delayslot(regs, instruction, ma);
418                         if (ret==0) {
419                                 regs->pr = regs->pc + 4;
420                                 regs->pc += rm + 4;
421                         }
422                 }
423                 else {
424                         /* mov.[bwl] to/from memory via r0+rn */
425                         goto simple;
426                 }
427                 break;
428
429         case 0x1000: /* mov.l Rm,@(disp,Rn) */
430                 goto simple;
431
432         case 0x2000: /* mov.[bwl] to memory, possibly with pre-decrement */
433                 goto simple;
434
435         case 0x4000:
436                 if ((instruction&0x00FF)==0x002B) {
437                         /* jmp @Rm */
438                         ret = handle_delayslot(regs, instruction, ma);
439                         if (ret==0)
440                                 regs->pc = rm;
441                 }
442                 else if ((instruction&0x00FF)==0x000B) {
443                         /* jsr @Rm */
444                         ret = handle_delayslot(regs, instruction, ma);
445                         if (ret==0) {
446                                 regs->pr = regs->pc + 4;
447                                 regs->pc = rm;
448                         }
449                 }
450                 else {
451                         /* mov.[bwl] to/from memory via r0+rn */
452                         goto simple;
453                 }
454                 break;
455
456         case 0x5000: /* mov.l @(disp,Rm),Rn */
457                 goto simple;
458
459         case 0x6000: /* mov.[bwl] from memory, possibly with post-increment */
460                 goto simple;
461
462         case 0x8000: /* bf lab, bf/s lab, bt lab, bt/s lab */
463                 switch (instruction&0x0F00) {
464                 case 0x0100: /* mov.w R0,@(disp,Rm) */
465                         goto simple;
466                 case 0x0500: /* mov.w @(disp,Rm),R0 */
467                         goto simple;
468                 case 0x0B00: /* bf   lab - no delayslot*/
469                         ret = 0;
470                         break;
471                 case 0x0F00: /* bf/s lab */
472                         ret = handle_delayslot(regs, instruction, ma);
473                         if (ret==0) {
474 #if defined(CONFIG_CPU_SH4) || defined(CONFIG_SH7705_CACHE_32KB)
475                                 if ((regs->sr & 0x00000001) != 0)
476                                         regs->pc += 4; /* next after slot */
477                                 else
478 #endif
479                                         regs->pc += SH_PC_8BIT_OFFSET(instruction);
480                         }
481                         break;
482                 case 0x0900: /* bt   lab - no delayslot */
483                         ret = 0;
484                         break;
485                 case 0x0D00: /* bt/s lab */
486                         ret = handle_delayslot(regs, instruction, ma);
487                         if (ret==0) {
488 #if defined(CONFIG_CPU_SH4) || defined(CONFIG_SH7705_CACHE_32KB)
489                                 if ((regs->sr & 0x00000001) == 0)
490                                         regs->pc += 4; /* next after slot */
491                                 else
492 #endif
493                                         regs->pc += SH_PC_8BIT_OFFSET(instruction);
494                         }
495                         break;
496                 }
497                 break;
498
499         case 0xA000: /* bra label */
500                 ret = handle_delayslot(regs, instruction, ma);
501                 if (ret==0)
502                         regs->pc += SH_PC_12BIT_OFFSET(instruction);
503                 break;
504
505         case 0xB000: /* bsr label */
506                 ret = handle_delayslot(regs, instruction, ma);
507                 if (ret==0) {
508                         regs->pr = regs->pc + 4;
509                         regs->pc += SH_PC_12BIT_OFFSET(instruction);
510                 }
511                 break;
512         }
513         return ret;
514
515         /* handle non-delay-slot instruction */
516  simple:
517         ret = handle_unaligned_ins(instruction, regs, ma);
518         if (ret==0)
519                 regs->pc += instruction_size(instruction);
520         return ret;
521 }
522
523 /*
524  * Handle various address error exceptions:
525  *  - instruction address error:
526  *       misaligned PC
527  *       PC >= 0x80000000 in user mode
528  *  - data address error (read and write)
529  *       misaligned data access
530  *       access to >= 0x80000000 is user mode
531  * Unfortuntaly we can't distinguish between instruction address error
532  * and data address errors caused by read accesses.
533  */
534 asmlinkage void do_address_error(struct pt_regs *regs,
535                                  unsigned long writeaccess,
536                                  unsigned long address)
537 {
538         unsigned long error_code = 0;
539         mm_segment_t oldfs;
540         siginfo_t info;
541         insn_size_t instruction;
542         int tmp;
543
544         /* Intentional ifdef */
545 #ifdef CONFIG_CPU_HAS_SR_RB
546         error_code = lookup_exception_vector();
547 #endif
548
549         oldfs = get_fs();
550
551         if (user_mode(regs)) {
552                 int si_code = BUS_ADRERR;
553                 unsigned int user_action;
554
555                 local_irq_enable();
556                 inc_unaligned_user_access();
557
558                 set_fs(USER_DS);
559                 if (copy_from_user(&instruction, (insn_size_t *)(regs->pc & ~1),
560                                    sizeof(instruction))) {
561                         set_fs(oldfs);
562                         goto uspace_segv;
563                 }
564                 set_fs(oldfs);
565
566                 /* shout about userspace fixups */
567                 unaligned_fixups_notify(current, instruction, regs);
568
569                 user_action = unaligned_user_action();
570                 if (user_action & UM_FIXUP)
571                         goto fixup;
572                 if (user_action & UM_SIGNAL)
573                         goto uspace_segv;
574                 else {
575                         /* ignore */
576                         regs->pc += instruction_size(instruction);
577                         return;
578                 }
579
580 fixup:
581                 /* bad PC is not something we can fix */
582                 if (regs->pc & 1) {
583                         si_code = BUS_ADRALN;
584                         goto uspace_segv;
585                 }
586
587                 set_fs(USER_DS);
588                 tmp = handle_unaligned_access(instruction, regs,
589                                               &user_mem_access, 0,
590                                               address);
591                 set_fs(oldfs);
592
593                 if (tmp == 0)
594                         return; /* sorted */
595 uspace_segv:
596                 printk(KERN_NOTICE "Sending SIGBUS to \"%s\" due to unaligned "
597                        "access (PC %lx PR %lx)\n", current->comm, regs->pc,
598                        regs->pr);
599
600                 info.si_signo = SIGBUS;
601                 info.si_errno = 0;
602                 info.si_code = si_code;
603                 info.si_addr = (void __user *)address;
604                 force_sig_info(SIGBUS, &info, current);
605         } else {
606                 inc_unaligned_kernel_access();
607
608                 if (regs->pc & 1)
609                         die("unaligned program counter", regs, error_code);
610
611                 set_fs(KERNEL_DS);
612                 if (copy_from_user(&instruction, (void __user *)(regs->pc),
613                                    sizeof(instruction))) {
614                         /* Argh. Fault on the instruction itself.
615                            This should never happen non-SMP
616                         */
617                         set_fs(oldfs);
618                         die("insn faulting in do_address_error", regs, 0);
619                 }
620
621                 unaligned_fixups_notify(current, instruction, regs);
622
623                 handle_unaligned_access(instruction, regs, &user_mem_access,
624                                         0, address);
625                 set_fs(oldfs);
626         }
627 }
628
629 #ifdef CONFIG_SH_DSP
630 /*
631  *      SH-DSP support gerg@snapgear.com.
632  */
633 int is_dsp_inst(struct pt_regs *regs)
634 {
635         unsigned short inst = 0;
636
637         /*
638          * Safe guard if DSP mode is already enabled or we're lacking
639          * the DSP altogether.
640          */
641         if (!(current_cpu_data.flags & CPU_HAS_DSP) || (regs->sr & SR_DSP))
642                 return 0;
643
644         get_user(inst, ((unsigned short *) regs->pc));
645
646         inst &= 0xf000;
647
648         /* Check for any type of DSP or support instruction */
649         if ((inst == 0xf000) || (inst == 0x4000))
650                 return 1;
651
652         return 0;
653 }
654 #else
655 #define is_dsp_inst(regs)       (0)
656 #endif /* CONFIG_SH_DSP */
657
658 #ifdef CONFIG_CPU_SH2A
659 asmlinkage void do_divide_error(unsigned long r4, unsigned long r5,
660                                 unsigned long r6, unsigned long r7,
661                                 struct pt_regs __regs)
662 {
663         siginfo_t info;
664
665         switch (r4) {
666         case TRAP_DIVZERO_ERROR:
667                 info.si_code = FPE_INTDIV;
668                 break;
669         case TRAP_DIVOVF_ERROR:
670                 info.si_code = FPE_INTOVF;
671                 break;
672         }
673
674         force_sig_info(SIGFPE, &info, current);
675 }
676 #endif
677
678 asmlinkage void do_reserved_inst(unsigned long r4, unsigned long r5,
679                                 unsigned long r6, unsigned long r7,
680                                 struct pt_regs __regs)
681 {
682         struct pt_regs *regs = RELOC_HIDE(&__regs, 0);
683         unsigned long error_code;
684         struct task_struct *tsk = current;
685
686 #ifdef CONFIG_SH_FPU_EMU
687         unsigned short inst = 0;
688         int err;
689
690         get_user(inst, (unsigned short*)regs->pc);
691
692         err = do_fpu_inst(inst, regs);
693         if (!err) {
694                 regs->pc += instruction_size(inst);
695                 return;
696         }
697         /* not a FPU inst. */
698 #endif
699
700 #ifdef CONFIG_SH_DSP
701         /* Check if it's a DSP instruction */
702         if (is_dsp_inst(regs)) {
703                 /* Enable DSP mode, and restart instruction. */
704                 regs->sr |= SR_DSP;
705                 /* Save DSP mode */
706                 tsk->thread.dsp_status.status |= SR_DSP;
707                 return;
708         }
709 #endif
710
711         error_code = lookup_exception_vector();
712
713         local_irq_enable();
714         force_sig(SIGILL, tsk);
715         die_if_no_fixup("reserved instruction", regs, error_code);
716 }
717
718 #ifdef CONFIG_SH_FPU_EMU
719 static int emulate_branch(unsigned short inst, struct pt_regs *regs)
720 {
721         /*
722          * bfs: 8fxx: PC+=d*2+4;
723          * bts: 8dxx: PC+=d*2+4;
724          * bra: axxx: PC+=D*2+4;
725          * bsr: bxxx: PC+=D*2+4  after PR=PC+4;
726          * braf:0x23: PC+=Rn*2+4;
727          * bsrf:0x03: PC+=Rn*2+4 after PR=PC+4;
728          * jmp: 4x2b: PC=Rn;
729          * jsr: 4x0b: PC=Rn      after PR=PC+4;
730          * rts: 000b: PC=PR;
731          */
732         if (((inst & 0xf000) == 0xb000)  ||     /* bsr */
733             ((inst & 0xf0ff) == 0x0003)  ||     /* bsrf */
734             ((inst & 0xf0ff) == 0x400b))        /* jsr */
735                 regs->pr = regs->pc + 4;
736
737         if ((inst & 0xfd00) == 0x8d00) {        /* bfs, bts */
738                 regs->pc += SH_PC_8BIT_OFFSET(inst);
739                 return 0;
740         }
741
742         if ((inst & 0xe000) == 0xa000) {        /* bra, bsr */
743                 regs->pc += SH_PC_12BIT_OFFSET(inst);
744                 return 0;
745         }
746
747         if ((inst & 0xf0df) == 0x0003) {        /* braf, bsrf */
748                 regs->pc += regs->regs[(inst & 0x0f00) >> 8] + 4;
749                 return 0;
750         }
751
752         if ((inst & 0xf0df) == 0x400b) {        /* jmp, jsr */
753                 regs->pc = regs->regs[(inst & 0x0f00) >> 8];
754                 return 0;
755         }
756
757         if ((inst & 0xffff) == 0x000b) {        /* rts */
758                 regs->pc = regs->pr;
759                 return 0;
760         }
761
762         return 1;
763 }
764 #endif
765
766 asmlinkage void do_illegal_slot_inst(unsigned long r4, unsigned long r5,
767                                 unsigned long r6, unsigned long r7,
768                                 struct pt_regs __regs)
769 {
770         struct pt_regs *regs = RELOC_HIDE(&__regs, 0);
771         unsigned long inst;
772         struct task_struct *tsk = current;
773
774         if (kprobe_handle_illslot(regs->pc) == 0)
775                 return;
776
777 #ifdef CONFIG_SH_FPU_EMU
778         get_user(inst, (unsigned short *)regs->pc + 1);
779         if (!do_fpu_inst(inst, regs)) {
780                 get_user(inst, (unsigned short *)regs->pc);
781                 if (!emulate_branch(inst, regs))
782                         return;
783                 /* fault in branch.*/
784         }
785         /* not a FPU inst. */
786 #endif
787
788         inst = lookup_exception_vector();
789
790         local_irq_enable();
791         force_sig(SIGILL, tsk);
792         die_if_no_fixup("illegal slot instruction", regs, inst);
793 }
794
795 asmlinkage void do_exception_error(unsigned long r4, unsigned long r5,
796                                    unsigned long r6, unsigned long r7,
797                                    struct pt_regs __regs)
798 {
799         struct pt_regs *regs = RELOC_HIDE(&__regs, 0);
800         long ex;
801
802         ex = lookup_exception_vector();
803         die_if_kernel("exception", regs, ex);
804 }
805
806 void __cpuinit per_cpu_trap_init(void)
807 {
808         extern void *vbr_base;
809
810         /* NOTE: The VBR value should be at P1
811            (or P2, virtural "fixed" address space).
812            It's definitely should not in physical address.  */
813
814         asm volatile("ldc       %0, vbr"
815                      : /* no output */
816                      : "r" (&vbr_base)
817                      : "memory");
818
819         /* disable exception blocking now when the vbr has been setup */
820         clear_bl_bit();
821 }
822
823 void *set_exception_table_vec(unsigned int vec, void *handler)
824 {
825         extern void *exception_handling_table[];
826         void *old_handler;
827
828         old_handler = exception_handling_table[vec];
829         exception_handling_table[vec] = handler;
830         return old_handler;
831 }
832
833 void __init trap_init(void)
834 {
835         set_exception_table_vec(TRAP_RESERVED_INST, do_reserved_inst);
836         set_exception_table_vec(TRAP_ILLEGAL_SLOT_INST, do_illegal_slot_inst);
837
838 #if defined(CONFIG_CPU_SH4) && !defined(CONFIG_SH_FPU) || \
839     defined(CONFIG_SH_FPU_EMU)
840         /*
841          * For SH-4 lacking an FPU, treat floating point instructions as
842          * reserved. They'll be handled in the math-emu case, or faulted on
843          * otherwise.
844          */
845         set_exception_table_evt(0x800, do_reserved_inst);
846         set_exception_table_evt(0x820, do_illegal_slot_inst);
847 #elif defined(CONFIG_SH_FPU)
848         set_exception_table_evt(0x800, fpu_state_restore_trap_handler);
849         set_exception_table_evt(0x820, fpu_state_restore_trap_handler);
850 #endif
851
852 #ifdef CONFIG_CPU_SH2
853         set_exception_table_vec(TRAP_ADDRESS_ERROR, address_error_trap_handler);
854 #endif
855 #ifdef CONFIG_CPU_SH2A
856         set_exception_table_vec(TRAP_DIVZERO_ERROR, do_divide_error);
857         set_exception_table_vec(TRAP_DIVOVF_ERROR, do_divide_error);
858 #ifdef CONFIG_SH_FPU
859         set_exception_table_vec(TRAP_FPU_ERROR, fpu_error_trap_handler);
860 #endif
861 #endif
862
863 #ifdef TRAP_UBC
864         set_exception_table_vec(TRAP_UBC, breakpoint_trap_handler);
865 #endif
866 }
867
868 void show_stack(struct task_struct *tsk, unsigned long *sp)
869 {
870         unsigned long stack;
871
872         if (!tsk)
873                 tsk = current;
874         if (tsk == current)
875                 sp = (unsigned long *)current_stack_pointer;
876         else
877                 sp = (unsigned long *)tsk->thread.sp;
878
879         stack = (unsigned long)sp;
880         dump_mem("Stack: ", stack, THREAD_SIZE +
881                  (unsigned long)task_stack_page(tsk));
882         show_trace(tsk, sp, NULL);
883 }
884
885 void dump_stack(void)
886 {
887         show_stack(NULL, NULL);
888 }
889 EXPORT_SYMBOL(dump_stack);