Merge git://git.kernel.org/pub/scm/linux/kernel/git/herbert/crypto-2.6
[pandora-kernel.git] / arch / powerpc / kernel / time.c
1 /*
2  * Common time routines among all ppc machines.
3  *
4  * Written by Cort Dougan (cort@cs.nmt.edu) to merge
5  * Paul Mackerras' version and mine for PReP and Pmac.
6  * MPC8xx/MBX changes by Dan Malek (dmalek@jlc.net).
7  * Converted for 64-bit by Mike Corrigan (mikejc@us.ibm.com)
8  *
9  * First round of bugfixes by Gabriel Paubert (paubert@iram.es)
10  * to make clock more stable (2.4.0-test5). The only thing
11  * that this code assumes is that the timebases have been synchronized
12  * by firmware on SMP and are never stopped (never do sleep
13  * on SMP then, nap and doze are OK).
14  * 
15  * Speeded up do_gettimeofday by getting rid of references to
16  * xtime (which required locks for consistency). (mikejc@us.ibm.com)
17  *
18  * TODO (not necessarily in this file):
19  * - improve precision and reproducibility of timebase frequency
20  * measurement at boot time. (for iSeries, we calibrate the timebase
21  * against the Titan chip's clock.)
22  * - for astronomical applications: add a new function to get
23  * non ambiguous timestamps even around leap seconds. This needs
24  * a new timestamp format and a good name.
25  *
26  * 1997-09-10  Updated NTP code according to technical memorandum Jan '96
27  *             "A Kernel Model for Precision Timekeeping" by Dave Mills
28  *
29  *      This program is free software; you can redistribute it and/or
30  *      modify it under the terms of the GNU General Public License
31  *      as published by the Free Software Foundation; either version
32  *      2 of the License, or (at your option) any later version.
33  */
34
35 #include <linux/errno.h>
36 #include <linux/module.h>
37 #include <linux/sched.h>
38 #include <linux/kernel.h>
39 #include <linux/param.h>
40 #include <linux/string.h>
41 #include <linux/mm.h>
42 #include <linux/interrupt.h>
43 #include <linux/timex.h>
44 #include <linux/kernel_stat.h>
45 #include <linux/time.h>
46 #include <linux/init.h>
47 #include <linux/profile.h>
48 #include <linux/cpu.h>
49 #include <linux/security.h>
50 #include <linux/percpu.h>
51 #include <linux/rtc.h>
52 #include <linux/jiffies.h>
53 #include <linux/posix-timers.h>
54 #include <linux/irq.h>
55 #include <linux/delay.h>
56 #include <linux/perf_counter.h>
57
58 #include <asm/io.h>
59 #include <asm/processor.h>
60 #include <asm/nvram.h>
61 #include <asm/cache.h>
62 #include <asm/machdep.h>
63 #include <asm/uaccess.h>
64 #include <asm/time.h>
65 #include <asm/prom.h>
66 #include <asm/irq.h>
67 #include <asm/div64.h>
68 #include <asm/smp.h>
69 #include <asm/vdso_datapage.h>
70 #include <asm/firmware.h>
71 #include <asm/cputime.h>
72 #ifdef CONFIG_PPC_ISERIES
73 #include <asm/iseries/it_lp_queue.h>
74 #include <asm/iseries/hv_call_xm.h>
75 #endif
76
77 /* powerpc clocksource/clockevent code */
78
79 #include <linux/clockchips.h>
80 #include <linux/clocksource.h>
81
82 static cycle_t rtc_read(struct clocksource *);
83 static struct clocksource clocksource_rtc = {
84         .name         = "rtc",
85         .rating       = 400,
86         .flags        = CLOCK_SOURCE_IS_CONTINUOUS,
87         .mask         = CLOCKSOURCE_MASK(64),
88         .shift        = 22,
89         .mult         = 0,      /* To be filled in */
90         .read         = rtc_read,
91 };
92
93 static cycle_t timebase_read(struct clocksource *);
94 static struct clocksource clocksource_timebase = {
95         .name         = "timebase",
96         .rating       = 400,
97         .flags        = CLOCK_SOURCE_IS_CONTINUOUS,
98         .mask         = CLOCKSOURCE_MASK(64),
99         .shift        = 22,
100         .mult         = 0,      /* To be filled in */
101         .read         = timebase_read,
102 };
103
104 #define DECREMENTER_MAX 0x7fffffff
105
106 static int decrementer_set_next_event(unsigned long evt,
107                                       struct clock_event_device *dev);
108 static void decrementer_set_mode(enum clock_event_mode mode,
109                                  struct clock_event_device *dev);
110
111 static struct clock_event_device decrementer_clockevent = {
112        .name           = "decrementer",
113        .rating         = 200,
114        .shift          = 0,     /* To be filled in */
115        .mult           = 0,     /* To be filled in */
116        .irq            = 0,
117        .set_next_event = decrementer_set_next_event,
118        .set_mode       = decrementer_set_mode,
119        .features       = CLOCK_EVT_FEAT_ONESHOT,
120 };
121
122 struct decrementer_clock {
123         struct clock_event_device event;
124         u64 next_tb;
125 };
126
127 static DEFINE_PER_CPU(struct decrementer_clock, decrementers);
128
129 #ifdef CONFIG_PPC_ISERIES
130 static unsigned long __initdata iSeries_recal_titan;
131 static signed long __initdata iSeries_recal_tb;
132
133 /* Forward declaration is only needed for iSereis compiles */
134 static void __init clocksource_init(void);
135 #endif
136
137 #define XSEC_PER_SEC (1024*1024)
138
139 #ifdef CONFIG_PPC64
140 #define SCALE_XSEC(xsec, max)   (((xsec) * max) / XSEC_PER_SEC)
141 #else
142 /* compute ((xsec << 12) * max) >> 32 */
143 #define SCALE_XSEC(xsec, max)   mulhwu((xsec) << 12, max)
144 #endif
145
146 unsigned long tb_ticks_per_jiffy;
147 unsigned long tb_ticks_per_usec = 100; /* sane default */
148 EXPORT_SYMBOL(tb_ticks_per_usec);
149 unsigned long tb_ticks_per_sec;
150 EXPORT_SYMBOL(tb_ticks_per_sec);        /* for cputime_t conversions */
151 u64 tb_to_xs;
152 unsigned tb_to_us;
153
154 #define TICKLEN_SCALE   NTP_SCALE_SHIFT
155 static u64 last_tick_len;       /* units are ns / 2^TICKLEN_SCALE */
156 static u64 ticklen_to_xs;       /* 0.64 fraction */
157
158 /* If last_tick_len corresponds to about 1/HZ seconds, then
159    last_tick_len << TICKLEN_SHIFT will be about 2^63. */
160 #define TICKLEN_SHIFT   (63 - 30 - TICKLEN_SCALE + SHIFT_HZ)
161
162 DEFINE_SPINLOCK(rtc_lock);
163 EXPORT_SYMBOL_GPL(rtc_lock);
164
165 static u64 tb_to_ns_scale __read_mostly;
166 static unsigned tb_to_ns_shift __read_mostly;
167 static unsigned long boot_tb __read_mostly;
168
169 extern struct timezone sys_tz;
170 static long timezone_offset;
171
172 unsigned long ppc_proc_freq;
173 EXPORT_SYMBOL(ppc_proc_freq);
174 unsigned long ppc_tb_freq;
175
176 static u64 tb_last_jiffy __cacheline_aligned_in_smp;
177 static DEFINE_PER_CPU(u64, last_jiffy);
178
179 #ifdef CONFIG_VIRT_CPU_ACCOUNTING
180 /*
181  * Factors for converting from cputime_t (timebase ticks) to
182  * jiffies, milliseconds, seconds, and clock_t (1/USER_HZ seconds).
183  * These are all stored as 0.64 fixed-point binary fractions.
184  */
185 u64 __cputime_jiffies_factor;
186 EXPORT_SYMBOL(__cputime_jiffies_factor);
187 u64 __cputime_msec_factor;
188 EXPORT_SYMBOL(__cputime_msec_factor);
189 u64 __cputime_sec_factor;
190 EXPORT_SYMBOL(__cputime_sec_factor);
191 u64 __cputime_clockt_factor;
192 EXPORT_SYMBOL(__cputime_clockt_factor);
193 DEFINE_PER_CPU(unsigned long, cputime_last_delta);
194 DEFINE_PER_CPU(unsigned long, cputime_scaled_last_delta);
195
196 static void calc_cputime_factors(void)
197 {
198         struct div_result res;
199
200         div128_by_32(HZ, 0, tb_ticks_per_sec, &res);
201         __cputime_jiffies_factor = res.result_low;
202         div128_by_32(1000, 0, tb_ticks_per_sec, &res);
203         __cputime_msec_factor = res.result_low;
204         div128_by_32(1, 0, tb_ticks_per_sec, &res);
205         __cputime_sec_factor = res.result_low;
206         div128_by_32(USER_HZ, 0, tb_ticks_per_sec, &res);
207         __cputime_clockt_factor = res.result_low;
208 }
209
210 /*
211  * Read the PURR on systems that have it, otherwise the timebase.
212  */
213 static u64 read_purr(void)
214 {
215         if (cpu_has_feature(CPU_FTR_PURR))
216                 return mfspr(SPRN_PURR);
217         return mftb();
218 }
219
220 /*
221  * Read the SPURR on systems that have it, otherwise the purr
222  */
223 static u64 read_spurr(u64 purr)
224 {
225         /*
226          * cpus without PURR won't have a SPURR
227          * We already know the former when we use this, so tell gcc
228          */
229         if (cpu_has_feature(CPU_FTR_PURR) && cpu_has_feature(CPU_FTR_SPURR))
230                 return mfspr(SPRN_SPURR);
231         return purr;
232 }
233
234 /*
235  * Account time for a transition between system, hard irq
236  * or soft irq state.
237  */
238 void account_system_vtime(struct task_struct *tsk)
239 {
240         u64 now, nowscaled, delta, deltascaled, sys_time;
241         unsigned long flags;
242
243         local_irq_save(flags);
244         now = read_purr();
245         nowscaled = read_spurr(now);
246         delta = now - get_paca()->startpurr;
247         deltascaled = nowscaled - get_paca()->startspurr;
248         get_paca()->startpurr = now;
249         get_paca()->startspurr = nowscaled;
250         if (!in_interrupt()) {
251                 /* deltascaled includes both user and system time.
252                  * Hence scale it based on the purr ratio to estimate
253                  * the system time */
254                 sys_time = get_paca()->system_time;
255                 if (get_paca()->user_time)
256                         deltascaled = deltascaled * sys_time /
257                              (sys_time + get_paca()->user_time);
258                 delta += sys_time;
259                 get_paca()->system_time = 0;
260         }
261         if (in_irq() || idle_task(smp_processor_id()) != tsk)
262                 account_system_time(tsk, 0, delta, deltascaled);
263         else
264                 account_idle_time(delta);
265         per_cpu(cputime_last_delta, smp_processor_id()) = delta;
266         per_cpu(cputime_scaled_last_delta, smp_processor_id()) = deltascaled;
267         local_irq_restore(flags);
268 }
269
270 /*
271  * Transfer the user and system times accumulated in the paca
272  * by the exception entry and exit code to the generic process
273  * user and system time records.
274  * Must be called with interrupts disabled.
275  */
276 void account_process_tick(struct task_struct *tsk, int user_tick)
277 {
278         cputime_t utime, utimescaled;
279
280         utime = get_paca()->user_time;
281         get_paca()->user_time = 0;
282         utimescaled = cputime_to_scaled(utime);
283         account_user_time(tsk, utime, utimescaled);
284 }
285
286 /*
287  * Stuff for accounting stolen time.
288  */
289 struct cpu_purr_data {
290         int     initialized;                    /* thread is running */
291         u64     tb;                     /* last TB value read */
292         u64     purr;                   /* last PURR value read */
293         u64     spurr;                  /* last SPURR value read */
294 };
295
296 /*
297  * Each entry in the cpu_purr_data array is manipulated only by its
298  * "owner" cpu -- usually in the timer interrupt but also occasionally
299  * in process context for cpu online.  As long as cpus do not touch
300  * each others' cpu_purr_data, disabling local interrupts is
301  * sufficient to serialize accesses.
302  */
303 static DEFINE_PER_CPU(struct cpu_purr_data, cpu_purr_data);
304
305 static void snapshot_tb_and_purr(void *data)
306 {
307         unsigned long flags;
308         struct cpu_purr_data *p = &__get_cpu_var(cpu_purr_data);
309
310         local_irq_save(flags);
311         p->tb = get_tb_or_rtc();
312         p->purr = mfspr(SPRN_PURR);
313         wmb();
314         p->initialized = 1;
315         local_irq_restore(flags);
316 }
317
318 /*
319  * Called during boot when all cpus have come up.
320  */
321 void snapshot_timebases(void)
322 {
323         if (!cpu_has_feature(CPU_FTR_PURR))
324                 return;
325         on_each_cpu(snapshot_tb_and_purr, NULL, 1);
326 }
327
328 /*
329  * Must be called with interrupts disabled.
330  */
331 void calculate_steal_time(void)
332 {
333         u64 tb, purr;
334         s64 stolen;
335         struct cpu_purr_data *pme;
336
337         pme = &__get_cpu_var(cpu_purr_data);
338         if (!pme->initialized)
339                 return;         /* !CPU_FTR_PURR or early in early boot */
340         tb = mftb();
341         purr = mfspr(SPRN_PURR);
342         stolen = (tb - pme->tb) - (purr - pme->purr);
343         if (stolen > 0) {
344                 if (idle_task(smp_processor_id()) != current)
345                         account_steal_time(stolen);
346                 else
347                         account_idle_time(stolen);
348         }
349         pme->tb = tb;
350         pme->purr = purr;
351 }
352
353 #ifdef CONFIG_PPC_SPLPAR
354 /*
355  * Must be called before the cpu is added to the online map when
356  * a cpu is being brought up at runtime.
357  */
358 static void snapshot_purr(void)
359 {
360         struct cpu_purr_data *pme;
361         unsigned long flags;
362
363         if (!cpu_has_feature(CPU_FTR_PURR))
364                 return;
365         local_irq_save(flags);
366         pme = &__get_cpu_var(cpu_purr_data);
367         pme->tb = mftb();
368         pme->purr = mfspr(SPRN_PURR);
369         pme->initialized = 1;
370         local_irq_restore(flags);
371 }
372
373 #endif /* CONFIG_PPC_SPLPAR */
374
375 #else /* ! CONFIG_VIRT_CPU_ACCOUNTING */
376 #define calc_cputime_factors()
377 #define calculate_steal_time()          do { } while (0)
378 #endif
379
380 #if !(defined(CONFIG_VIRT_CPU_ACCOUNTING) && defined(CONFIG_PPC_SPLPAR))
381 #define snapshot_purr()                 do { } while (0)
382 #endif
383
384 /*
385  * Called when a cpu comes up after the system has finished booting,
386  * i.e. as a result of a hotplug cpu action.
387  */
388 void snapshot_timebase(void)
389 {
390         __get_cpu_var(last_jiffy) = get_tb_or_rtc();
391         snapshot_purr();
392 }
393
394 void __delay(unsigned long loops)
395 {
396         unsigned long start;
397         int diff;
398
399         if (__USE_RTC()) {
400                 start = get_rtcl();
401                 do {
402                         /* the RTCL register wraps at 1000000000 */
403                         diff = get_rtcl() - start;
404                         if (diff < 0)
405                                 diff += 1000000000;
406                 } while (diff < loops);
407         } else {
408                 start = get_tbl();
409                 while (get_tbl() - start < loops)
410                         HMT_low();
411                 HMT_medium();
412         }
413 }
414 EXPORT_SYMBOL(__delay);
415
416 void udelay(unsigned long usecs)
417 {
418         __delay(tb_ticks_per_usec * usecs);
419 }
420 EXPORT_SYMBOL(udelay);
421
422 static inline void update_gtod(u64 new_tb_stamp, u64 new_stamp_xsec,
423                                u64 new_tb_to_xs)
424 {
425         /*
426          * tb_update_count is used to allow the userspace gettimeofday code
427          * to assure itself that it sees a consistent view of the tb_to_xs and
428          * stamp_xsec variables.  It reads the tb_update_count, then reads
429          * tb_to_xs and stamp_xsec and then reads tb_update_count again.  If
430          * the two values of tb_update_count match and are even then the
431          * tb_to_xs and stamp_xsec values are consistent.  If not, then it
432          * loops back and reads them again until this criteria is met.
433          * We expect the caller to have done the first increment of
434          * vdso_data->tb_update_count already.
435          */
436         vdso_data->tb_orig_stamp = new_tb_stamp;
437         vdso_data->stamp_xsec = new_stamp_xsec;
438         vdso_data->tb_to_xs = new_tb_to_xs;
439         vdso_data->wtom_clock_sec = wall_to_monotonic.tv_sec;
440         vdso_data->wtom_clock_nsec = wall_to_monotonic.tv_nsec;
441         vdso_data->stamp_xtime = xtime;
442         smp_wmb();
443         ++(vdso_data->tb_update_count);
444 }
445
446 #ifdef CONFIG_SMP
447 unsigned long profile_pc(struct pt_regs *regs)
448 {
449         unsigned long pc = instruction_pointer(regs);
450
451         if (in_lock_functions(pc))
452                 return regs->link;
453
454         return pc;
455 }
456 EXPORT_SYMBOL(profile_pc);
457 #endif
458
459 #ifdef CONFIG_PPC_ISERIES
460
461 /* 
462  * This function recalibrates the timebase based on the 49-bit time-of-day
463  * value in the Titan chip.  The Titan is much more accurate than the value
464  * returned by the service processor for the timebase frequency.  
465  */
466
467 static int __init iSeries_tb_recal(void)
468 {
469         struct div_result divres;
470         unsigned long titan, tb;
471
472         /* Make sure we only run on iSeries */
473         if (!firmware_has_feature(FW_FEATURE_ISERIES))
474                 return -ENODEV;
475
476         tb = get_tb();
477         titan = HvCallXm_loadTod();
478         if ( iSeries_recal_titan ) {
479                 unsigned long tb_ticks = tb - iSeries_recal_tb;
480                 unsigned long titan_usec = (titan - iSeries_recal_titan) >> 12;
481                 unsigned long new_tb_ticks_per_sec   = (tb_ticks * USEC_PER_SEC)/titan_usec;
482                 unsigned long new_tb_ticks_per_jiffy = (new_tb_ticks_per_sec+(HZ/2))/HZ;
483                 long tick_diff = new_tb_ticks_per_jiffy - tb_ticks_per_jiffy;
484                 char sign = '+';                
485                 /* make sure tb_ticks_per_sec and tb_ticks_per_jiffy are consistent */
486                 new_tb_ticks_per_sec = new_tb_ticks_per_jiffy * HZ;
487
488                 if ( tick_diff < 0 ) {
489                         tick_diff = -tick_diff;
490                         sign = '-';
491                 }
492                 if ( tick_diff ) {
493                         if ( tick_diff < tb_ticks_per_jiffy/25 ) {
494                                 printk( "Titan recalibrate: new tb_ticks_per_jiffy = %lu (%c%ld)\n",
495                                                 new_tb_ticks_per_jiffy, sign, tick_diff );
496                                 tb_ticks_per_jiffy = new_tb_ticks_per_jiffy;
497                                 tb_ticks_per_sec   = new_tb_ticks_per_sec;
498                                 calc_cputime_factors();
499                                 div128_by_32( XSEC_PER_SEC, 0, tb_ticks_per_sec, &divres );
500                                 tb_to_xs = divres.result_low;
501                                 vdso_data->tb_ticks_per_sec = tb_ticks_per_sec;
502                                 vdso_data->tb_to_xs = tb_to_xs;
503                         }
504                         else {
505                                 printk( "Titan recalibrate: FAILED (difference > 4 percent)\n"
506                                         "                   new tb_ticks_per_jiffy = %lu\n"
507                                         "                   old tb_ticks_per_jiffy = %lu\n",
508                                         new_tb_ticks_per_jiffy, tb_ticks_per_jiffy );
509                         }
510                 }
511         }
512         iSeries_recal_titan = titan;
513         iSeries_recal_tb = tb;
514
515         /* Called here as now we know accurate values for the timebase */
516         clocksource_init();
517         return 0;
518 }
519 late_initcall(iSeries_tb_recal);
520
521 /* Called from platform early init */
522 void __init iSeries_time_init_early(void)
523 {
524         iSeries_recal_tb = get_tb();
525         iSeries_recal_titan = HvCallXm_loadTod();
526 }
527 #endif /* CONFIG_PPC_ISERIES */
528
529 #if defined(CONFIG_PERF_COUNTERS) && defined(CONFIG_PPC32)
530 DEFINE_PER_CPU(u8, perf_counter_pending);
531
532 void set_perf_counter_pending(void)
533 {
534         get_cpu_var(perf_counter_pending) = 1;
535         set_dec(1);
536         put_cpu_var(perf_counter_pending);
537 }
538
539 #define test_perf_counter_pending()     __get_cpu_var(perf_counter_pending)
540 #define clear_perf_counter_pending()    __get_cpu_var(perf_counter_pending) = 0
541
542 #else  /* CONFIG_PERF_COUNTERS && CONFIG_PPC32 */
543
544 #define test_perf_counter_pending()     0
545 #define clear_perf_counter_pending()
546
547 #endif /* CONFIG_PERF_COUNTERS && CONFIG_PPC32 */
548
549 /*
550  * For iSeries shared processors, we have to let the hypervisor
551  * set the hardware decrementer.  We set a virtual decrementer
552  * in the lppaca and call the hypervisor if the virtual
553  * decrementer is less than the current value in the hardware
554  * decrementer. (almost always the new decrementer value will
555  * be greater than the current hardware decementer so the hypervisor
556  * call will not be needed)
557  */
558
559 /*
560  * timer_interrupt - gets called when the decrementer overflows,
561  * with interrupts disabled.
562  */
563 void timer_interrupt(struct pt_regs * regs)
564 {
565         struct pt_regs *old_regs;
566         struct decrementer_clock *decrementer =  &__get_cpu_var(decrementers);
567         struct clock_event_device *evt = &decrementer->event;
568         u64 now;
569
570         /* Ensure a positive value is written to the decrementer, or else
571          * some CPUs will continuue to take decrementer exceptions */
572         set_dec(DECREMENTER_MAX);
573
574 #ifdef CONFIG_PPC32
575         if (test_perf_counter_pending()) {
576                 clear_perf_counter_pending();
577                 perf_counter_do_pending();
578         }
579         if (atomic_read(&ppc_n_lost_interrupts) != 0)
580                 do_IRQ(regs);
581 #endif
582
583         now = get_tb_or_rtc();
584         if (now < decrementer->next_tb) {
585                 /* not time for this event yet */
586                 now = decrementer->next_tb - now;
587                 if (now <= DECREMENTER_MAX)
588                         set_dec((int)now);
589                 return;
590         }
591         old_regs = set_irq_regs(regs);
592         irq_enter();
593
594         calculate_steal_time();
595
596 #ifdef CONFIG_PPC_ISERIES
597         if (firmware_has_feature(FW_FEATURE_ISERIES))
598                 get_lppaca()->int_dword.fields.decr_int = 0;
599 #endif
600
601         if (evt->event_handler)
602                 evt->event_handler(evt);
603
604 #ifdef CONFIG_PPC_ISERIES
605         if (firmware_has_feature(FW_FEATURE_ISERIES) && hvlpevent_is_pending())
606                 process_hvlpevents();
607 #endif
608
609 #ifdef CONFIG_PPC64
610         /* collect purr register values often, for accurate calculations */
611         if (firmware_has_feature(FW_FEATURE_SPLPAR)) {
612                 struct cpu_usage *cu = &__get_cpu_var(cpu_usage_array);
613                 cu->current_tb = mfspr(SPRN_PURR);
614         }
615 #endif
616
617         irq_exit();
618         set_irq_regs(old_regs);
619 }
620
621 void wakeup_decrementer(void)
622 {
623         unsigned long ticks;
624
625         /*
626          * The timebase gets saved on sleep and restored on wakeup,
627          * so all we need to do is to reset the decrementer.
628          */
629         ticks = tb_ticks_since(__get_cpu_var(last_jiffy));
630         if (ticks < tb_ticks_per_jiffy)
631                 ticks = tb_ticks_per_jiffy - ticks;
632         else
633                 ticks = 1;
634         set_dec(ticks);
635 }
636
637 #ifdef CONFIG_SUSPEND
638 void generic_suspend_disable_irqs(void)
639 {
640         preempt_disable();
641
642         /* Disable the decrementer, so that it doesn't interfere
643          * with suspending.
644          */
645
646         set_dec(0x7fffffff);
647         local_irq_disable();
648         set_dec(0x7fffffff);
649 }
650
651 void generic_suspend_enable_irqs(void)
652 {
653         wakeup_decrementer();
654
655         local_irq_enable();
656         preempt_enable();
657 }
658
659 /* Overrides the weak version in kernel/power/main.c */
660 void arch_suspend_disable_irqs(void)
661 {
662         if (ppc_md.suspend_disable_irqs)
663                 ppc_md.suspend_disable_irqs();
664         generic_suspend_disable_irqs();
665 }
666
667 /* Overrides the weak version in kernel/power/main.c */
668 void arch_suspend_enable_irqs(void)
669 {
670         generic_suspend_enable_irqs();
671         if (ppc_md.suspend_enable_irqs)
672                 ppc_md.suspend_enable_irqs();
673 }
674 #endif
675
676 #ifdef CONFIG_SMP
677 void __init smp_space_timers(unsigned int max_cpus)
678 {
679         int i;
680         u64 previous_tb = per_cpu(last_jiffy, boot_cpuid);
681
682         /* make sure tb > per_cpu(last_jiffy, cpu) for all cpus always */
683         previous_tb -= tb_ticks_per_jiffy;
684
685         for_each_possible_cpu(i) {
686                 if (i == boot_cpuid)
687                         continue;
688                 per_cpu(last_jiffy, i) = previous_tb;
689         }
690 }
691 #endif
692
693 /*
694  * Scheduler clock - returns current time in nanosec units.
695  *
696  * Note: mulhdu(a, b) (multiply high double unsigned) returns
697  * the high 64 bits of a * b, i.e. (a * b) >> 64, where a and b
698  * are 64-bit unsigned numbers.
699  */
700 unsigned long long sched_clock(void)
701 {
702         if (__USE_RTC())
703                 return get_rtc();
704         return mulhdu(get_tb() - boot_tb, tb_to_ns_scale) << tb_to_ns_shift;
705 }
706
707 static int __init get_freq(char *name, int cells, unsigned long *val)
708 {
709         struct device_node *cpu;
710         const unsigned int *fp;
711         int found = 0;
712
713         /* The cpu node should have timebase and clock frequency properties */
714         cpu = of_find_node_by_type(NULL, "cpu");
715
716         if (cpu) {
717                 fp = of_get_property(cpu, name, NULL);
718                 if (fp) {
719                         found = 1;
720                         *val = of_read_ulong(fp, cells);
721                 }
722
723                 of_node_put(cpu);
724         }
725
726         return found;
727 }
728
729 void __init generic_calibrate_decr(void)
730 {
731         ppc_tb_freq = DEFAULT_TB_FREQ;          /* hardcoded default */
732
733         if (!get_freq("ibm,extended-timebase-frequency", 2, &ppc_tb_freq) &&
734             !get_freq("timebase-frequency", 1, &ppc_tb_freq)) {
735
736                 printk(KERN_ERR "WARNING: Estimating decrementer frequency "
737                                 "(not found)\n");
738         }
739
740         ppc_proc_freq = DEFAULT_PROC_FREQ;      /* hardcoded default */
741
742         if (!get_freq("ibm,extended-clock-frequency", 2, &ppc_proc_freq) &&
743             !get_freq("clock-frequency", 1, &ppc_proc_freq)) {
744
745                 printk(KERN_ERR "WARNING: Estimating processor frequency "
746                                 "(not found)\n");
747         }
748
749 #if defined(CONFIG_BOOKE) || defined(CONFIG_40x)
750         /* Clear any pending timer interrupts */
751         mtspr(SPRN_TSR, TSR_ENW | TSR_WIS | TSR_DIS | TSR_FIS);
752
753         /* Enable decrementer interrupt */
754         mtspr(SPRN_TCR, TCR_DIE);
755 #endif
756 }
757
758 int update_persistent_clock(struct timespec now)
759 {
760         struct rtc_time tm;
761
762         if (!ppc_md.set_rtc_time)
763                 return 0;
764
765         to_tm(now.tv_sec + 1 + timezone_offset, &tm);
766         tm.tm_year -= 1900;
767         tm.tm_mon -= 1;
768
769         return ppc_md.set_rtc_time(&tm);
770 }
771
772 unsigned long read_persistent_clock(void)
773 {
774         struct rtc_time tm;
775         static int first = 1;
776
777         /* XXX this is a litle fragile but will work okay in the short term */
778         if (first) {
779                 first = 0;
780                 if (ppc_md.time_init)
781                         timezone_offset = ppc_md.time_init();
782
783                 /* get_boot_time() isn't guaranteed to be safe to call late */
784                 if (ppc_md.get_boot_time)
785                         return ppc_md.get_boot_time() -timezone_offset;
786         }
787         if (!ppc_md.get_rtc_time)
788                 return 0;
789         ppc_md.get_rtc_time(&tm);
790         return mktime(tm.tm_year+1900, tm.tm_mon+1, tm.tm_mday,
791                       tm.tm_hour, tm.tm_min, tm.tm_sec);
792 }
793
794 /* clocksource code */
795 static cycle_t rtc_read(struct clocksource *cs)
796 {
797         return (cycle_t)get_rtc();
798 }
799
800 static cycle_t timebase_read(struct clocksource *cs)
801 {
802         return (cycle_t)get_tb();
803 }
804
805 void update_vsyscall(struct timespec *wall_time, struct clocksource *clock)
806 {
807         u64 t2x, stamp_xsec;
808
809         if (clock != &clocksource_timebase)
810                 return;
811
812         /* Make userspace gettimeofday spin until we're done. */
813         ++vdso_data->tb_update_count;
814         smp_mb();
815
816         /* XXX this assumes clock->shift == 22 */
817         /* 4611686018 ~= 2^(20+64-22) / 1e9 */
818         t2x = (u64) clock->mult * 4611686018ULL;
819         stamp_xsec = (u64) xtime.tv_nsec * XSEC_PER_SEC;
820         do_div(stamp_xsec, 1000000000);
821         stamp_xsec += (u64) xtime.tv_sec * XSEC_PER_SEC;
822         update_gtod(clock->cycle_last, stamp_xsec, t2x);
823 }
824
825 void update_vsyscall_tz(void)
826 {
827         /* Make userspace gettimeofday spin until we're done. */
828         ++vdso_data->tb_update_count;
829         smp_mb();
830         vdso_data->tz_minuteswest = sys_tz.tz_minuteswest;
831         vdso_data->tz_dsttime = sys_tz.tz_dsttime;
832         smp_mb();
833         ++vdso_data->tb_update_count;
834 }
835
836 static void __init clocksource_init(void)
837 {
838         struct clocksource *clock;
839
840         if (__USE_RTC())
841                 clock = &clocksource_rtc;
842         else
843                 clock = &clocksource_timebase;
844
845         clock->mult = clocksource_hz2mult(tb_ticks_per_sec, clock->shift);
846
847         if (clocksource_register(clock)) {
848                 printk(KERN_ERR "clocksource: %s is already registered\n",
849                        clock->name);
850                 return;
851         }
852
853         printk(KERN_INFO "clocksource: %s mult[%x] shift[%d] registered\n",
854                clock->name, clock->mult, clock->shift);
855 }
856
857 static int decrementer_set_next_event(unsigned long evt,
858                                       struct clock_event_device *dev)
859 {
860         __get_cpu_var(decrementers).next_tb = get_tb_or_rtc() + evt;
861         set_dec(evt);
862         return 0;
863 }
864
865 static void decrementer_set_mode(enum clock_event_mode mode,
866                                  struct clock_event_device *dev)
867 {
868         if (mode != CLOCK_EVT_MODE_ONESHOT)
869                 decrementer_set_next_event(DECREMENTER_MAX, dev);
870 }
871
872 static void __init setup_clockevent_multiplier(unsigned long hz)
873 {
874         u64 mult, shift = 32;
875
876         while (1) {
877                 mult = div_sc(hz, NSEC_PER_SEC, shift);
878                 if (mult && (mult >> 32UL) == 0UL)
879                         break;
880
881                 shift--;
882         }
883
884         decrementer_clockevent.shift = shift;
885         decrementer_clockevent.mult = mult;
886 }
887
888 static void register_decrementer_clockevent(int cpu)
889 {
890         struct clock_event_device *dec = &per_cpu(decrementers, cpu).event;
891
892         *dec = decrementer_clockevent;
893         dec->cpumask = cpumask_of(cpu);
894
895         printk(KERN_DEBUG "clockevent: %s mult[%lx] shift[%d] cpu[%d]\n",
896                dec->name, dec->mult, dec->shift, cpu);
897
898         clockevents_register_device(dec);
899 }
900
901 static void __init init_decrementer_clockevent(void)
902 {
903         int cpu = smp_processor_id();
904
905         setup_clockevent_multiplier(ppc_tb_freq);
906         decrementer_clockevent.max_delta_ns =
907                 clockevent_delta2ns(DECREMENTER_MAX, &decrementer_clockevent);
908         decrementer_clockevent.min_delta_ns =
909                 clockevent_delta2ns(2, &decrementer_clockevent);
910
911         register_decrementer_clockevent(cpu);
912 }
913
914 void secondary_cpu_time_init(void)
915 {
916         /* FIME: Should make unrelatred change to move snapshot_timebase
917          * call here ! */
918         register_decrementer_clockevent(smp_processor_id());
919 }
920
921 /* This function is only called on the boot processor */
922 void __init time_init(void)
923 {
924         unsigned long flags;
925         struct div_result res;
926         u64 scale, x;
927         unsigned shift;
928
929         if (__USE_RTC()) {
930                 /* 601 processor: dec counts down by 128 every 128ns */
931                 ppc_tb_freq = 1000000000;
932                 tb_last_jiffy = get_rtcl();
933         } else {
934                 /* Normal PowerPC with timebase register */
935                 ppc_md.calibrate_decr();
936                 printk(KERN_DEBUG "time_init: decrementer frequency = %lu.%.6lu MHz\n",
937                        ppc_tb_freq / 1000000, ppc_tb_freq % 1000000);
938                 printk(KERN_DEBUG "time_init: processor frequency   = %lu.%.6lu MHz\n",
939                        ppc_proc_freq / 1000000, ppc_proc_freq % 1000000);
940                 tb_last_jiffy = get_tb();
941         }
942
943         tb_ticks_per_jiffy = ppc_tb_freq / HZ;
944         tb_ticks_per_sec = ppc_tb_freq;
945         tb_ticks_per_usec = ppc_tb_freq / 1000000;
946         tb_to_us = mulhwu_scale_factor(ppc_tb_freq, 1000000);
947         calc_cputime_factors();
948
949         /*
950          * Calculate the length of each tick in ns.  It will not be
951          * exactly 1e9/HZ unless ppc_tb_freq is divisible by HZ.
952          * We compute 1e9 * tb_ticks_per_jiffy / ppc_tb_freq,
953          * rounded up.
954          */
955         x = (u64) NSEC_PER_SEC * tb_ticks_per_jiffy + ppc_tb_freq - 1;
956         do_div(x, ppc_tb_freq);
957         tick_nsec = x;
958         last_tick_len = x << TICKLEN_SCALE;
959
960         /*
961          * Compute ticklen_to_xs, which is a factor which gets multiplied
962          * by (last_tick_len << TICKLEN_SHIFT) to get a tb_to_xs value.
963          * It is computed as:
964          * ticklen_to_xs = 2^N / (tb_ticks_per_jiffy * 1e9)
965          * where N = 64 + 20 - TICKLEN_SCALE - TICKLEN_SHIFT
966          * which turns out to be N = 51 - SHIFT_HZ.
967          * This gives the result as a 0.64 fixed-point fraction.
968          * That value is reduced by an offset amounting to 1 xsec per
969          * 2^31 timebase ticks to avoid problems with time going backwards
970          * by 1 xsec when we do timer_recalc_offset due to losing the
971          * fractional xsec.  That offset is equal to ppc_tb_freq/2^51
972          * since there are 2^20 xsec in a second.
973          */
974         div128_by_32((1ULL << 51) - ppc_tb_freq, 0,
975                      tb_ticks_per_jiffy << SHIFT_HZ, &res);
976         div128_by_32(res.result_high, res.result_low, NSEC_PER_SEC, &res);
977         ticklen_to_xs = res.result_low;
978
979         /* Compute tb_to_xs from tick_nsec */
980         tb_to_xs = mulhdu(last_tick_len << TICKLEN_SHIFT, ticklen_to_xs);
981
982         /*
983          * Compute scale factor for sched_clock.
984          * The calibrate_decr() function has set tb_ticks_per_sec,
985          * which is the timebase frequency.
986          * We compute 1e9 * 2^64 / tb_ticks_per_sec and interpret
987          * the 128-bit result as a 64.64 fixed-point number.
988          * We then shift that number right until it is less than 1.0,
989          * giving us the scale factor and shift count to use in
990          * sched_clock().
991          */
992         div128_by_32(1000000000, 0, tb_ticks_per_sec, &res);
993         scale = res.result_low;
994         for (shift = 0; res.result_high != 0; ++shift) {
995                 scale = (scale >> 1) | (res.result_high << 63);
996                 res.result_high >>= 1;
997         }
998         tb_to_ns_scale = scale;
999         tb_to_ns_shift = shift;
1000         /* Save the current timebase to pretty up CONFIG_PRINTK_TIME */
1001         boot_tb = get_tb_or_rtc();
1002
1003         write_seqlock_irqsave(&xtime_lock, flags);
1004
1005         /* If platform provided a timezone (pmac), we correct the time */
1006         if (timezone_offset) {
1007                 sys_tz.tz_minuteswest = -timezone_offset / 60;
1008                 sys_tz.tz_dsttime = 0;
1009         }
1010
1011         vdso_data->tb_orig_stamp = tb_last_jiffy;
1012         vdso_data->tb_update_count = 0;
1013         vdso_data->tb_ticks_per_sec = tb_ticks_per_sec;
1014         vdso_data->stamp_xsec = (u64) xtime.tv_sec * XSEC_PER_SEC;
1015         vdso_data->tb_to_xs = tb_to_xs;
1016
1017         write_sequnlock_irqrestore(&xtime_lock, flags);
1018
1019         /* Register the clocksource, if we're not running on iSeries */
1020         if (!firmware_has_feature(FW_FEATURE_ISERIES))
1021                 clocksource_init();
1022
1023         init_decrementer_clockevent();
1024 }
1025
1026
1027 #define FEBRUARY        2
1028 #define STARTOFTIME     1970
1029 #define SECDAY          86400L
1030 #define SECYR           (SECDAY * 365)
1031 #define leapyear(year)          ((year) % 4 == 0 && \
1032                                  ((year) % 100 != 0 || (year) % 400 == 0))
1033 #define days_in_year(a)         (leapyear(a) ? 366 : 365)
1034 #define days_in_month(a)        (month_days[(a) - 1])
1035
1036 static int month_days[12] = {
1037         31, 28, 31, 30, 31, 30, 31, 31, 30, 31, 30, 31
1038 };
1039
1040 /*
1041  * This only works for the Gregorian calendar - i.e. after 1752 (in the UK)
1042  */
1043 void GregorianDay(struct rtc_time * tm)
1044 {
1045         int leapsToDate;
1046         int lastYear;
1047         int day;
1048         int MonthOffset[] = { 0, 31, 59, 90, 120, 151, 181, 212, 243, 273, 304, 334 };
1049
1050         lastYear = tm->tm_year - 1;
1051
1052         /*
1053          * Number of leap corrections to apply up to end of last year
1054          */
1055         leapsToDate = lastYear / 4 - lastYear / 100 + lastYear / 400;
1056
1057         /*
1058          * This year is a leap year if it is divisible by 4 except when it is
1059          * divisible by 100 unless it is divisible by 400
1060          *
1061          * e.g. 1904 was a leap year, 1900 was not, 1996 is, and 2000 was
1062          */
1063         day = tm->tm_mon > 2 && leapyear(tm->tm_year);
1064
1065         day += lastYear*365 + leapsToDate + MonthOffset[tm->tm_mon-1] +
1066                    tm->tm_mday;
1067
1068         tm->tm_wday = day % 7;
1069 }
1070
1071 void to_tm(int tim, struct rtc_time * tm)
1072 {
1073         register int    i;
1074         register long   hms, day;
1075
1076         day = tim / SECDAY;
1077         hms = tim % SECDAY;
1078
1079         /* Hours, minutes, seconds are easy */
1080         tm->tm_hour = hms / 3600;
1081         tm->tm_min = (hms % 3600) / 60;
1082         tm->tm_sec = (hms % 3600) % 60;
1083
1084         /* Number of years in days */
1085         for (i = STARTOFTIME; day >= days_in_year(i); i++)
1086                 day -= days_in_year(i);
1087         tm->tm_year = i;
1088
1089         /* Number of months in days left */
1090         if (leapyear(tm->tm_year))
1091                 days_in_month(FEBRUARY) = 29;
1092         for (i = 1; day >= days_in_month(i); i++)
1093                 day -= days_in_month(i);
1094         days_in_month(FEBRUARY) = 28;
1095         tm->tm_mon = i;
1096
1097         /* Days are what is left over (+1) from all that. */
1098         tm->tm_mday = day + 1;
1099
1100         /*
1101          * Determine the day of week
1102          */
1103         GregorianDay(tm);
1104 }
1105
1106 /* Auxiliary function to compute scaling factors */
1107 /* Actually the choice of a timebase running at 1/4 the of the bus
1108  * frequency giving resolution of a few tens of nanoseconds is quite nice.
1109  * It makes this computation very precise (27-28 bits typically) which
1110  * is optimistic considering the stability of most processor clock
1111  * oscillators and the precision with which the timebase frequency
1112  * is measured but does not harm.
1113  */
1114 unsigned mulhwu_scale_factor(unsigned inscale, unsigned outscale)
1115 {
1116         unsigned mlt=0, tmp, err;
1117         /* No concern for performance, it's done once: use a stupid
1118          * but safe and compact method to find the multiplier.
1119          */
1120   
1121         for (tmp = 1U<<31; tmp != 0; tmp >>= 1) {
1122                 if (mulhwu(inscale, mlt|tmp) < outscale)
1123                         mlt |= tmp;
1124         }
1125   
1126         /* We might still be off by 1 for the best approximation.
1127          * A side effect of this is that if outscale is too large
1128          * the returned value will be zero.
1129          * Many corner cases have been checked and seem to work,
1130          * some might have been forgotten in the test however.
1131          */
1132   
1133         err = inscale * (mlt+1);
1134         if (err <= inscale/2)
1135                 mlt++;
1136         return mlt;
1137 }
1138
1139 /*
1140  * Divide a 128-bit dividend by a 32-bit divisor, leaving a 128 bit
1141  * result.
1142  */
1143 void div128_by_32(u64 dividend_high, u64 dividend_low,
1144                   unsigned divisor, struct div_result *dr)
1145 {
1146         unsigned long a, b, c, d;
1147         unsigned long w, x, y, z;
1148         u64 ra, rb, rc;
1149
1150         a = dividend_high >> 32;
1151         b = dividend_high & 0xffffffff;
1152         c = dividend_low >> 32;
1153         d = dividend_low & 0xffffffff;
1154
1155         w = a / divisor;
1156         ra = ((u64)(a - (w * divisor)) << 32) + b;
1157
1158         rb = ((u64) do_div(ra, divisor) << 32) + c;
1159         x = ra;
1160
1161         rc = ((u64) do_div(rb, divisor) << 32) + d;
1162         y = rb;
1163
1164         do_div(rc, divisor);
1165         z = rc;
1166
1167         dr->result_high = ((u64)w << 32) + x;
1168         dr->result_low  = ((u64)y << 32) + z;
1169
1170 }
1171
1172 /* We don't need to calibrate delay, we use the CPU timebase for that */
1173 void calibrate_delay(void)
1174 {
1175         /* Some generic code (such as spinlock debug) use loops_per_jiffy
1176          * as the number of __delay(1) in a jiffy, so make it so
1177          */
1178         loops_per_jiffy = tb_ticks_per_jiffy;
1179 }
1180
1181 static int __init rtc_init(void)
1182 {
1183         struct platform_device *pdev;
1184
1185         if (!ppc_md.get_rtc_time)
1186                 return -ENODEV;
1187
1188         pdev = platform_device_register_simple("rtc-generic", -1, NULL, 0);
1189         if (IS_ERR(pdev))
1190                 return PTR_ERR(pdev);
1191
1192         return 0;
1193 }
1194
1195 module_init(rtc_init);