[AX25]: endian-annotate ax25_type_trans()