[PATCH] uml: size register files correctly