x86: delay lib unification build fix
authorIngo Molnar <mingo@elte.hu>
Wed, 9 Jul 2008 07:12:55 +0000 (09:12 +0200)
committerIngo Molnar <mingo@elte.hu>
Wed, 9 Jul 2008 07:13:59 +0000 (09:13 +0200)
fix:

arch/x86/lib/delay.c:93:24: error: macro "use_tsc_delay" passed 1 arguments, but takes just 0
arch/x86/lib/delay.c:94: error: expected ‘=’, ‘,’, ‘;’, ‘asm’ or ‘__attribute__’ before ‘{’ token

Signed-off-by: Ingo Molnar <mingo@elte.hu>
include/asm-x86/delay.h

index bb80880..409a649 100644 (file)
@@ -26,10 +26,6 @@ extern void __delay(unsigned long loops);
        ((n) > 20000 ? __bad_ndelay() : __const_udelay((n) * 5ul)) : \
        __ndelay(n))
 
-#ifdef CONFIG_X86_32
 void use_tsc_delay(void);
-#else
-#define use_tsc_delay() {}
-#endif
 
 #endif /* _ASM_X86_DELAY_H */