76ea10d37ad700c32c913421d7770d34e3302754
[pandora-kernel.git] / drivers / scsi / bfa / bfa_defs_svc.h
1 /*
2  * Copyright (c) 2005-2010 Brocade Communications Systems, Inc.
3  * All rights reserved
4  * www.brocade.com
5  *
6  * Linux driver for Brocade Fibre Channel Host Bus Adapter.
7  *
8  * This program is free software; you can redistribute it and/or modify it
9  * under the terms of the GNU General Public License (GPL) Version 2 as
10  * published by the Free Software Foundation
11  *
12  * This program is distributed in the hope that it will be useful, but
13  * WITHOUT ANY WARRANTY; without even the implied warranty of
14  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
15  * General Public License for more details.
16  */
17
18 #ifndef __BFA_DEFS_SVC_H__
19 #define __BFA_DEFS_SVC_H__
20
21 #include "bfa_defs.h"
22 #include "bfa_fc.h"
23 #include "bfi.h"
24
25 #define BFA_IOCFC_INTR_DELAY    1125
26 #define BFA_IOCFC_INTR_LATENCY  225
27 #define BFA_IOCFCOE_INTR_DELAY  25
28 #define BFA_IOCFCOE_INTR_LATENCY 5
29
30 /*
31  * Interrupt coalescing configuration.
32  */
33 #pragma pack(1)
34 struct bfa_iocfc_intr_attr_s {
35         u8              coalesce;       /*  enable/disable coalescing */
36         u8              rsvd[3];
37         __be16          latency;        /*  latency in microseconds   */
38         __be16          delay;          /*  delay in microseconds     */
39 };
40
41 /*
42  * IOC firmware configuraton
43  */
44 struct bfa_iocfc_fwcfg_s {
45         u16             num_fabrics;    /*  number of fabrics           */
46         u16             num_lports;     /*  number of local lports      */
47         u16             num_rports;     /*  number of remote ports      */
48         u16             num_ioim_reqs;  /*  number of IO reqs           */
49         u16             num_tskim_reqs; /*  task management requests    */
50         u16             num_fwtio_reqs; /* number of TM IO reqs in FW   */
51         u16             num_fcxp_reqs;  /*  unassisted FC exchanges     */
52         u16             num_uf_bufs;    /*  unsolicited recv buffers    */
53         u8              num_cqs;
54         u8              fw_tick_res;    /*  FW clock resolution in ms */
55         u8              rsvd[6];
56 };
57 #pragma pack()
58
59 struct bfa_iocfc_drvcfg_s {
60         u16             num_reqq_elems; /*  number of req queue elements */
61         u16             num_rspq_elems; /*  number of rsp queue elements */
62         u16             num_sgpgs;      /*  number of total SG pages     */
63         u16             num_sboot_tgts; /*  number of SAN boot targets   */
64         u16             num_sboot_luns; /*  number of SAN boot luns      */
65         u16             ioc_recover;    /*  IOC recovery mode            */
66         u16             min_cfg;        /*  minimum configuration        */
67         u16             path_tov;       /*  device path timeout         */
68         u16             num_tio_reqs;   /* number of TM IO reqs */
69         u8              port_mode;
70         u8              rsvd_a;
71         bfa_boolean_t   delay_comp;     /* delay completion of failed
72                                          * inflight IOs */
73         u16             num_ttsk_reqs;   /* TM task management requests */
74         u32             rsvd;
75 };
76
77 /*
78  * IOC configuration
79  */
80 struct bfa_iocfc_cfg_s {
81         struct bfa_iocfc_fwcfg_s        fwcfg;  /*  firmware side config */
82         struct bfa_iocfc_drvcfg_s       drvcfg; /*  driver side config    */
83 };
84
85 /*
86  * IOC firmware IO stats
87  */
88 struct bfa_fw_ioim_stats_s {
89         u32     host_abort;             /*  IO aborted by host driver*/
90         u32     host_cleanup;           /*  IO clean up by host driver */
91
92         u32     fw_io_timeout;          /*  IOs timedout */
93         u32     fw_frm_parse;           /*  frame parsed by f/w */
94         u32     fw_frm_data;            /*  fcp_data frame parsed by f/w */
95         u32     fw_frm_rsp;             /*  fcp_rsp frame parsed by f/w */
96         u32     fw_frm_xfer_rdy;        /*  xfer_rdy frame parsed by f/w */
97         u32     fw_frm_bls_acc;         /*  BLS ACC  frame parsed by f/w */
98         u32     fw_frm_tgt_abort;       /*  target ABTS parsed by f/w */
99         u32     fw_frm_unknown;         /*  unknown parsed by f/w */
100         u32     fw_data_dma;            /*  f/w DMA'ed the data frame */
101         u32     fw_frm_drop;            /*  f/w drop the frame */
102
103         u32     rec_timeout;            /*  FW rec timed out */
104         u32     error_rec;              /*  FW sending rec on
105                                          *  an error condition*/
106         u32     wait_for_si;            /*  FW wait for SI */
107         u32     rec_rsp_inval;          /*  REC rsp invalid */
108         u32     seqr_io_abort;          /*  target does not know cmd so abort */
109         u32     seqr_io_retry;          /*  SEQR failed so retry IO */
110
111         u32     itn_cisc_upd_rsp;       /*  ITN cisc updated on fcp_rsp */
112         u32     itn_cisc_upd_data;      /*  ITN cisc updated on fcp_data */
113         u32     itn_cisc_upd_xfer_rdy;  /*  ITN cisc updated on fcp_data */
114
115         u32     fcp_data_lost;          /*  fcp data lost */
116
117         u32     ro_set_in_xfer_rdy;     /*  Target set RO in Xfer_rdy frame */
118         u32     xfer_rdy_ooo_err;       /*  Out of order Xfer_rdy received */
119         u32     xfer_rdy_unknown_err;   /*  unknown error in xfer_rdy frame */
120
121         u32     io_abort_timeout;       /*  ABTS timedout  */
122         u32     sler_initiated;         /*  SLER initiated */
123
124         u32     unexp_fcp_rsp;          /*  fcp response in wrong state */
125
126         u32     fcp_rsp_under_run;      /*  fcp rsp IO underrun */
127         u32     fcp_rsp_under_run_wr;   /*  fcp rsp IO underrun for write */
128         u32     fcp_rsp_under_run_err;  /*  fcp rsp IO underrun error */
129         u32     fcp_rsp_resid_inval;    /*  invalid residue */
130         u32     fcp_rsp_over_run;       /*  fcp rsp IO overrun */
131         u32     fcp_rsp_over_run_err;   /*  fcp rsp IO overrun error */
132         u32     fcp_rsp_proto_err;      /*  protocol error in fcp rsp */
133         u32     fcp_rsp_sense_err;      /*  error in sense info in fcp rsp */
134         u32     fcp_conf_req;           /*  FCP conf requested */
135
136         u32     tgt_aborted_io;         /*  target initiated abort */
137
138         u32     ioh_edtov_timeout_event;/*  IOH edtov timer popped */
139         u32     ioh_fcp_rsp_excp_event; /*  IOH FCP_RSP exception */
140         u32     ioh_fcp_conf_event;     /*  IOH FCP_CONF */
141         u32     ioh_mult_frm_rsp_event; /*  IOH multi_frame FCP_RSP */
142         u32     ioh_hit_class2_event;   /*  IOH hit class2 */
143         u32     ioh_miss_other_event;   /*  IOH miss other */
144         u32     ioh_seq_cnt_err_event;  /*  IOH seq cnt error */
145         u32     ioh_len_err_event;      /*  IOH len error - fcp_dl !=
146                                          *  bytes xfered */
147         u32     ioh_seq_len_err_event;  /*  IOH seq len error */
148         u32     ioh_data_oor_event;     /*  Data out of range */
149         u32     ioh_ro_ooo_event;       /*  Relative offset out of range */
150         u32     ioh_cpu_owned_event;    /*  IOH hit -iost owned by f/w */
151         u32     ioh_unexp_frame_event;  /*  unexpected frame received
152                                          *  count */
153         u32     ioh_err_int;            /*  IOH error int during data-phase
154                                          *  for scsi write */
155 };
156
157 struct bfa_fw_tio_stats_s {
158         u32     tio_conf_proc;      /* TIO CONF processed */
159         u32     tio_conf_drop;      /* TIO CONF dropped */
160         u32     tio_cleanup_req;    /* TIO cleanup requested */
161         u32     tio_cleanup_comp;   /* TIO cleanup completed */
162         u32     tio_abort_rsp;      /* TIO abort response */
163         u32     tio_abort_rsp_comp; /* TIO abort rsp completed */
164         u32     tio_abts_req;       /* TIO ABTS requested */
165         u32     tio_abts_ack;       /* TIO ABTS ack-ed */
166         u32     tio_abts_ack_nocomp;/* TIO ABTS ack-ed but not completed */
167         u32     tio_abts_tmo;       /* TIO ABTS timeout */
168         u32     tio_snsdata_dma;    /* TIO sense data DMA */
169         u32     tio_rxwchan_wait;   /* TIO waiting for RX wait channel */
170         u32     tio_rxwchan_avail;  /* TIO RX wait channel available */
171         u32     tio_hit_bls;        /* TIO IOH BLS event */
172         u32     tio_uf_recv;        /* TIO received UF */
173         u32     tio_rd_invalid_sm;  /* TIO read reqst in wrong state machine */
174         u32     tio_wr_invalid_sm;  /* TIO write reqst in wrong state machine */
175
176         u32     ds_rxwchan_wait;    /* DS waiting for RX wait channel */
177         u32     ds_rxwchan_avail;   /* DS RX wait channel available */
178         u32     ds_unaligned_rd;    /* DS unaligned read */
179         u32     ds_rdcomp_invalid_sm; /* DS read completed in wrong state
180                                        * machine */
181         u32     ds_wrcomp_invalid_sm; /* DS write completed in wrong state
182                                        * machine */
183         u32     ds_flush_req;       /* DS flush requested */
184         u32     ds_flush_comp;      /* DS flush completed */
185         u32     ds_xfrdy_exp;       /* DS XFER_RDY expired */
186         u32     ds_seq_cnt_err;     /* DS seq cnt error */
187         u32     ds_seq_len_err;     /* DS seq len error */
188         u32     ds_data_oor;        /* DS data out of order */
189         u32     ds_hit_bls;         /* DS hit BLS */
190         u32     ds_edtov_timer_exp; /* DS edtov expired */
191         u32     ds_cpu_owned;       /* DS cpu owned */
192         u32     ds_hit_class2;      /* DS hit class2 */
193         u32     ds_length_err;      /* DS length error */
194         u32     ds_ro_ooo_err;      /* DS relative offset out-of-order error */
195         u32     ds_rectov_timer_exp;/* DS rectov expired */
196         u32     ds_unexp_fr_err;    /* DS unexp frame error */
197 };
198
199 /*
200  * IOC firmware IO stats
201  */
202 struct bfa_fw_io_stats_s {
203         struct bfa_fw_ioim_stats_s      ioim_stats;
204         struct bfa_fw_tio_stats_s       tio_stats;
205 };
206
207 /*
208  * IOC port firmware stats
209  */
210
211 struct bfa_fw_port_fpg_stats_s {
212         u32    intr_evt;
213         u32    intr;
214         u32    intr_excess;
215         u32    intr_cause0;
216         u32    intr_other;
217         u32    intr_other_ign;
218         u32    sig_lost;
219         u32    sig_regained;
220         u32    sync_lost;
221         u32    sync_to;
222         u32    sync_regained;
223         u32    div2_overflow;
224         u32    div2_underflow;
225         u32    efifo_overflow;
226         u32    efifo_underflow;
227         u32    idle_rx;
228         u32    lrr_rx;
229         u32    lr_rx;
230         u32    ols_rx;
231         u32    nos_rx;
232         u32    lip_rx;
233         u32    arbf0_rx;
234         u32    arb_rx;
235         u32    mrk_rx;
236         u32    const_mrk_rx;
237         u32    prim_unknown;
238 };
239
240
241 struct bfa_fw_port_lksm_stats_s {
242         u32    hwsm_success;       /*  hwsm state machine success          */
243         u32    hwsm_fails;         /*  hwsm fails                          */
244         u32    hwsm_wdtov;         /*  hwsm timed out                      */
245         u32    swsm_success;       /*  swsm success                        */
246         u32    swsm_fails;         /*  swsm fails                          */
247         u32    swsm_wdtov;         /*  swsm timed out                      */
248         u32    busybufs;           /*  link init failed due to busybuf     */
249         u32    buf_waits;          /*  bufwait state entries               */
250         u32    link_fails;         /*  link failures                       */
251         u32    psp_errors;         /*  primitive sequence protocol errors  */
252         u32    lr_unexp;           /*  No. of times LR rx-ed unexpectedly  */
253         u32    lrr_unexp;          /*  No. of times LRR rx-ed unexpectedly */
254         u32    lr_tx;              /*  No. of times LR tx started          */
255         u32    lrr_tx;             /*  No. of times LRR tx started         */
256         u32    ols_tx;             /*  No. of times OLS tx started         */
257         u32    nos_tx;             /*  No. of times NOS tx started         */
258         u32    hwsm_lrr_rx;        /*  No. of times LRR rx-ed by HWSM      */
259         u32    hwsm_lr_rx;         /*  No. of times LR rx-ed by HWSM       */
260         u32    bbsc_lr;            /* LKSM LR tx for credit recovery       */
261 };
262
263 struct bfa_fw_port_snsm_stats_s {
264         u32    hwsm_success;       /*  Successful hwsm terminations        */
265         u32    hwsm_fails;         /*  hwsm fail count                     */
266         u32    hwsm_wdtov;         /*  hwsm timed out                      */
267         u32    swsm_success;       /*  swsm success                        */
268         u32    swsm_wdtov;         /*  swsm timed out                      */
269         u32    error_resets;       /*  error resets initiated by upsm      */
270         u32    sync_lost;          /*  Sync loss count                     */
271         u32    sig_lost;           /*  Signal loss count                   */
272         u32    asn8g_attempts;     /* SNSM HWSM at 8Gbps attempts          */
273 };
274
275 struct bfa_fw_port_physm_stats_s {
276         u32    module_inserts;     /*  Module insert count                 */
277         u32    module_xtracts;     /*  Module extracts count               */
278         u32    module_invalids;    /*  Invalid module inserted count       */
279         u32    module_read_ign;    /*  Module validation status ignored    */
280         u32    laser_faults;       /*  Laser fault count                   */
281         u32    rsvd;
282 };
283
284 struct bfa_fw_fip_stats_s {
285         u32    vlan_req;           /*  vlan discovery requests             */
286         u32    vlan_notify;        /*  vlan notifications                  */
287         u32    vlan_err;           /*  vlan response error                 */
288         u32    vlan_timeouts;      /*  vlan disvoery timeouts              */
289         u32    vlan_invalids;      /*  invalid vlan in discovery advert.   */
290         u32    disc_req;           /*  Discovery solicit requests          */
291         u32    disc_rsp;           /*  Discovery solicit response          */
292         u32    disc_err;           /*  Discovery advt. parse errors        */
293         u32    disc_unsol;         /*  Discovery unsolicited               */
294         u32    disc_timeouts;      /*  Discovery timeouts                  */
295         u32    disc_fcf_unavail;   /*  Discovery FCF Not Avail.            */
296         u32    linksvc_unsupp;     /*  Unsupported link service req        */
297         u32    linksvc_err;        /*  Parse error in link service req     */
298         u32    logo_req;           /*  FIP logos received                  */
299         u32    clrvlink_req;       /*  Clear virtual link req              */
300         u32    op_unsupp;          /*  Unsupported FIP operation           */
301         u32    untagged;           /*  Untagged frames (ignored)           */
302         u32    invalid_version;    /*  Invalid FIP version                 */
303 };
304
305 struct bfa_fw_lps_stats_s {
306         u32    mac_invalids;       /*  Invalid mac assigned                */
307         u32    rsvd;
308 };
309
310 struct bfa_fw_fcoe_stats_s {
311         u32    cee_linkups;        /*  CEE link up count                   */
312         u32    cee_linkdns;        /*  CEE link down count                 */
313         u32    fip_linkups;        /*  FIP link up count                   */
314         u32    fip_linkdns;        /*  FIP link up count                   */
315         u32    fip_fails;          /*  FIP fail count                      */
316         u32    mac_invalids;       /*  Invalid mac assigned                */
317 };
318
319 /*
320  * IOC firmware FCoE port stats
321  */
322 struct bfa_fw_fcoe_port_stats_s {
323         struct bfa_fw_fcoe_stats_s              fcoe_stats;
324         struct bfa_fw_fip_stats_s               fip_stats;
325 };
326
327 /**
328  * @brief LPSM statistics
329  */
330 struct bfa_fw_lpsm_stats_s {
331         u32     cls_rx;         /* LPSM cls_rx                  */
332         u32     cls_tx;         /* LPSM cls_tx                  */
333         u32     arbf0_rx;       /* LPSM abrf0 rcvd              */
334         u32     arbf0_tx;       /* LPSM abrf0 xmit              */
335         u32     init_rx;        /* LPSM loop init start         */
336         u32     unexp_hwst;     /* LPSM unknown hw state        */
337         u32     unexp_frame;    /* LPSM unknown_frame           */
338         u32     unexp_prim;     /* LPSM unexpected primitive    */
339         u32     prev_alpa_unavail; /* LPSM prev alpa unavailable */
340         u32     alpa_unavail;   /* LPSM alpa not available      */
341         u32     lip_rx;         /* LPSM lip rcvd                */
342         u32     lip_f7f7_rx;    /* LPSM lip f7f7 rcvd           */
343         u32     lip_f8_rx;      /* LPSM lip f8 rcvd             */
344         u32     lip_f8f7_rx;    /* LPSM lip f8f7 rcvd           */
345         u32     lip_other_rx;   /* LPSM lip other rcvd          */
346         u32     lip_tx;         /* LPSM lip xmit                */
347         u32     retry_tov;      /* LPSM retry TOV               */
348         u32     lip_tov;        /* LPSM LIP wait TOV            */
349         u32     idle_tov;       /* LPSM idle wait TOV           */
350         u32     arbf0_tov;      /* LPSM arbfo wait TOV          */
351         u32     stop_loop_tov;  /* LPSM stop loop wait TOV      */
352         u32     lixa_tov;       /* LPSM lisa wait TOV           */
353         u32     lixx_tov;       /* LPSM lilp/lirp wait TOV      */
354         u32     cls_tov;        /* LPSM cls wait TOV            */
355         u32     sler;           /* LPSM SLER recvd              */
356         u32     failed;         /* LPSM failed                  */
357         u32     success;        /* LPSM online                  */
358 };
359
360 /*
361  * IOC firmware FC uport stats
362  */
363 struct bfa_fw_fc_uport_stats_s {
364         struct bfa_fw_port_snsm_stats_s         snsm_stats;
365         struct bfa_fw_port_lksm_stats_s         lksm_stats;
366         struct bfa_fw_lpsm_stats_s              lpsm_stats;
367 };
368
369 /*
370  * IOC firmware FC port stats
371  */
372 union bfa_fw_fc_port_stats_s {
373         struct bfa_fw_fc_uport_stats_s          fc_stats;
374         struct bfa_fw_fcoe_port_stats_s         fcoe_stats;
375 };
376
377 /*
378  * IOC firmware port stats
379  */
380 struct bfa_fw_port_stats_s {
381         struct bfa_fw_port_fpg_stats_s          fpg_stats;
382         struct bfa_fw_port_physm_stats_s        physm_stats;
383         union  bfa_fw_fc_port_stats_s           fc_port;
384 };
385
386 /*
387  * fcxchg module statistics
388  */
389 struct bfa_fw_fcxchg_stats_s {
390         u32     ua_tag_inv;
391         u32     ua_state_inv;
392 };
393
394 /*
395  *  Trunk statistics
396  */
397 struct bfa_fw_trunk_stats_s {
398         u32 emt_recvd;          /*  Trunk EMT received          */
399         u32 emt_accepted;       /*  Trunk EMT Accepted          */
400         u32 emt_rejected;       /*  Trunk EMT rejected          */
401         u32 etp_recvd;          /*  Trunk ETP received          */
402         u32 etp_accepted;       /*  Trunk ETP Accepted          */
403         u32 etp_rejected;       /*  Trunk ETP rejected          */
404         u32 lr_recvd;           /*  Trunk LR received           */
405         u32 rsvd;               /*  padding for 64 bit alignment */
406 };
407
408 struct bfa_fw_advsm_stats_s {
409         u32 flogi_sent;         /*  Flogi sent                  */
410         u32 flogi_acc_recvd;    /*  Flogi Acc received          */
411         u32 flogi_rjt_recvd;    /*  Flogi rejects received      */
412         u32 flogi_retries;      /*  Flogi retries               */
413
414         u32 elp_recvd;          /*  ELP received                */
415         u32 elp_accepted;       /*  ELP Accepted                */
416         u32 elp_rejected;       /*  ELP rejected                */
417         u32 elp_dropped;        /*  ELP dropped                 */
418 };
419
420 /*
421  * IOCFC firmware stats
422  */
423 struct bfa_fw_iocfc_stats_s {
424         u32     cfg_reqs;       /*  cfg request */
425         u32     updq_reqs;      /*  update queue request */
426         u32     ic_reqs;        /*  interrupt coalesce reqs */
427         u32     unknown_reqs;
428         u32     set_intr_reqs;  /*  set interrupt reqs */
429 };
430
431 /*
432  * IOC attributes returned in queries
433  */
434 struct bfa_iocfc_attr_s {
435         struct bfa_iocfc_cfg_s          config;         /*  IOCFC config   */
436         struct bfa_iocfc_intr_attr_s    intr_attr;      /*  interrupt attr */
437 };
438
439 /*
440  * Eth_sndrcv mod stats
441  */
442 struct bfa_fw_eth_sndrcv_stats_s {
443         u32     crc_err;
444         u32     rsvd;           /*  64bit align    */
445 };
446
447 /*
448  * CT MAC mod stats
449  */
450 struct bfa_fw_mac_mod_stats_s {
451         u32     mac_on;         /*  MAC got turned-on */
452         u32     link_up;        /*  link-up */
453         u32     signal_off;     /*  lost signal */
454         u32     dfe_on;         /*  DFE on */
455         u32     mac_reset;      /*  # of MAC reset to bring lnk up */
456         u32     pcs_reset;      /*  # of PCS reset to bring lnk up */
457         u32     loopback;       /*  MAC got into serdes loopback */
458         u32     lb_mac_reset;
459                         /*  # of MAC reset to bring link up in loopback */
460         u32     lb_pcs_reset;
461                         /*  # of PCS reset to bring link up in loopback */
462         u32     rsvd;           /*  64bit align    */
463 };
464
465 /*
466  * CT MOD stats
467  */
468 struct bfa_fw_ct_mod_stats_s {
469         u32     rxa_rds_undrun; /*  RxA RDS underrun */
470         u32     rad_bpc_ovfl;   /*  RAD BPC overflow */
471         u32     rad_rlb_bpc_ovfl; /*  RAD RLB BPC overflow */
472         u32     bpc_fcs_err;    /*  BPC FCS_ERR */
473         u32     txa_tso_hdr;    /*  TxA TSO header too long */
474         u32     rsvd;           /*  64bit align    */
475 };
476
477 /*
478  * IOC firmware stats
479  */
480 struct bfa_fw_stats_s {
481         struct bfa_fw_ioc_stats_s       ioc_stats;
482         struct bfa_fw_iocfc_stats_s     iocfc_stats;
483         struct bfa_fw_io_stats_s        io_stats;
484         struct bfa_fw_port_stats_s      port_stats;
485         struct bfa_fw_fcxchg_stats_s    fcxchg_stats;
486         struct bfa_fw_lps_stats_s       lps_stats;
487         struct bfa_fw_trunk_stats_s     trunk_stats;
488         struct bfa_fw_advsm_stats_s     advsm_stats;
489         struct bfa_fw_mac_mod_stats_s   macmod_stats;
490         struct bfa_fw_ct_mod_stats_s    ctmod_stats;
491         struct bfa_fw_eth_sndrcv_stats_s        ethsndrcv_stats;
492 };
493
494 #define BFA_IOCFC_PATHTOV_MAX   60
495 #define BFA_IOCFC_QDEPTH_MAX    2000
496
497 /*
498  * QoS states
499  */
500 enum bfa_qos_state {
501         BFA_QOS_DISABLED = 0,           /* QoS is disabled */
502         BFA_QOS_ONLINE = 1,             /*  QoS is online */
503         BFA_QOS_OFFLINE = 2,            /*  QoS is offline */
504 };
505
506 /*
507  * QoS  Priority levels.
508  */
509 enum bfa_qos_priority {
510         BFA_QOS_UNKNOWN = 0,
511         BFA_QOS_HIGH  = 1,      /*  QoS Priority Level High */
512         BFA_QOS_MED  =  2,      /*  QoS Priority Level Medium */
513         BFA_QOS_LOW  =  3,      /*  QoS Priority Level Low */
514 };
515
516 /*
517  * QoS  bandwidth allocation for each priority level
518  */
519 enum bfa_qos_bw_alloc {
520         BFA_QOS_BW_HIGH  = 60,  /*  bandwidth allocation for High */
521         BFA_QOS_BW_MED  =  30,  /*  bandwidth allocation for Medium */
522         BFA_QOS_BW_LOW  =  10,  /*  bandwidth allocation for Low */
523 };
524 #pragma pack(1)
525 /*
526  * QoS attribute returned in QoS Query
527  */
528 struct bfa_qos_attr_s {
529         u8      state;          /*  QoS current state */
530         u8      rsvd1[3];
531         u32     total_bb_cr;    /*  Total BB Credits */
532         u32     rsvd2[2];
533 };
534
535 /*
536  * These fields should be displayed only from the CLI.
537  * There will be a separate BFAL API (get_qos_vc_attr ?)
538  * to retrieve this.
539  *
540  */
541 #define  BFA_QOS_MAX_VC  16
542
543 struct bfa_qos_vc_info_s {
544         u8 vc_credit;
545         u8 borrow_credit;
546         u8 priority;
547         u8 resvd;
548 };
549
550 struct bfa_qos_vc_attr_s {
551         u16  total_vc_count;                    /*  Total VC Count */
552         u16  shared_credit;
553         u32  elp_opmode_flags;
554         struct bfa_qos_vc_info_s vc_info[BFA_QOS_MAX_VC];  /* as many as
555                                                             * total_vc_count */
556 };
557
558 /*
559  * QoS statistics
560  */
561 struct bfa_qos_stats_s {
562         u32     flogi_sent;             /*  QoS Flogi sent */
563         u32     flogi_acc_recvd;        /*  QoS Flogi Acc received */
564         u32     flogi_rjt_recvd;        /*  QoS Flogi rejects received */
565         u32     flogi_retries;          /*  QoS Flogi retries */
566
567         u32     elp_recvd;              /*  QoS ELP received */
568         u32     elp_accepted;           /*  QoS ELP Accepted */
569         u32     elp_rejected;           /*  QoS ELP rejected */
570         u32     elp_dropped;            /*  QoS ELP dropped  */
571
572         u32     qos_rscn_recvd;         /*  QoS RSCN received */
573         u32     rsvd;                   /* padding for 64 bit alignment */
574 };
575
576 /*
577  * FCoE statistics
578  */
579 struct bfa_fcoe_stats_s {
580         u64     secs_reset;     /*  Seconds since stats reset        */
581         u64     cee_linkups;    /*  CEE link up                      */
582         u64     cee_linkdns;    /*  CEE link down                    */
583         u64     fip_linkups;    /*  FIP link up                      */
584         u64     fip_linkdns;    /*  FIP link down                    */
585         u64     fip_fails;      /*  FIP failures                     */
586         u64     mac_invalids;   /*  Invalid mac assignments          */
587         u64     vlan_req;       /*  Vlan requests                    */
588         u64     vlan_notify;    /*  Vlan notifications               */
589         u64     vlan_err;       /*  Vlan notification errors         */
590         u64     vlan_timeouts;  /*  Vlan request timeouts            */
591         u64     vlan_invalids;  /*  Vlan invalids                    */
592         u64     disc_req;       /*  Discovery requests               */
593         u64     disc_rsp;       /*  Discovery responses              */
594         u64     disc_err;       /*  Discovery error frames           */
595         u64     disc_unsol;     /*  Discovery unsolicited            */
596         u64     disc_timeouts;  /*  Discovery timeouts               */
597         u64     disc_fcf_unavail; /*  Discovery FCF not avail        */
598         u64     linksvc_unsupp; /*  FIP link service req unsupp      */
599         u64     linksvc_err;    /*  FIP link service req errors      */
600         u64     logo_req;       /*  FIP logos received               */
601         u64     clrvlink_req;   /*  Clear virtual link requests      */
602         u64     op_unsupp;      /*  FIP operation unsupp.            */
603         u64     untagged;       /*  FIP untagged frames              */
604         u64     txf_ucast;      /*  Tx FCoE unicast frames           */
605         u64     txf_ucast_vlan; /*  Tx FCoE unicast vlan frames      */
606         u64     txf_ucast_octets; /*  Tx FCoE unicast octets         */
607         u64     txf_mcast;      /*  Tx FCoE multicast frames         */
608         u64     txf_mcast_vlan; /*  Tx FCoE multicast vlan frames    */
609         u64     txf_mcast_octets; /*  Tx FCoE multicast octets       */
610         u64     txf_bcast;      /*  Tx FCoE broadcast frames         */
611         u64     txf_bcast_vlan; /*  Tx FCoE broadcast vlan frames    */
612         u64     txf_bcast_octets; /*  Tx FCoE broadcast octets       */
613         u64     txf_timeout;      /*  Tx timeouts                    */
614         u64     txf_parity_errors; /*  Transmit parity err           */
615         u64     txf_fid_parity_errors; /*  Transmit FID parity err   */
616         u64     rxf_ucast_octets; /*  Rx FCoE unicast octets         */
617         u64     rxf_ucast;      /*  Rx FCoE unicast frames           */
618         u64     rxf_ucast_vlan; /*  Rx FCoE unicast vlan frames      */
619         u64     rxf_mcast_octets; /*  Rx FCoE multicast octets       */
620         u64     rxf_mcast;      /*  Rx FCoE multicast frames         */
621         u64     rxf_mcast_vlan; /*  Rx FCoE multicast vlan frames    */
622         u64     rxf_bcast_octets; /*  Rx FCoE broadcast octets       */
623         u64     rxf_bcast;      /*  Rx FCoE broadcast frames         */
624         u64     rxf_bcast_vlan; /*  Rx FCoE broadcast vlan frames    */
625 };
626
627 /*
628  * QoS or FCoE stats (fcport stats excluding physical FC port stats)
629  */
630 union bfa_fcport_stats_u {
631         struct bfa_qos_stats_s  fcqos;
632         struct bfa_fcoe_stats_s fcoe;
633 };
634 #pragma pack()
635
636 struct bfa_fcpim_del_itn_stats_s {
637         u32     del_itn_iocomp_aborted;    /* Aborted IO requests             */
638         u32     del_itn_iocomp_timedout;   /* IO timeouts                     */
639         u32     del_itn_iocom_sqer_needed; /* IO retry for SQ error recovery  */
640         u32     del_itn_iocom_res_free;    /* Delayed freeing of IO resources */
641         u32     del_itn_iocom_hostabrts;   /* Host IO abort requests          */
642         u32     del_itn_total_ios;         /* Total IO count                  */
643         u32     del_io_iocdowns;           /* IO cleaned-up due to IOC down   */
644         u32     del_tm_iocdowns;           /* TM cleaned-up due to IOC down   */
645 };
646
647 struct bfa_itnim_iostats_s {
648
649         u32     total_ios;              /*  Total IO Requests           */
650         u32     input_reqs;             /*  Data in-bound requests      */
651         u32     output_reqs;            /*  Data out-bound requests     */
652         u32     io_comps;               /*  Total IO Completions        */
653         u32     wr_throughput;          /*  Write data transferred in bytes */
654         u32     rd_throughput;          /*  Read data transferred in bytes  */
655
656         u32     iocomp_ok;              /*  Slowpath IO completions     */
657         u32     iocomp_underrun;        /*  IO underrun         */
658         u32     iocomp_overrun;         /*  IO overrun                  */
659         u32     qwait;                  /*  IO Request-Q wait           */
660         u32     qresumes;               /*  IO Request-Q wait done      */
661         u32     no_iotags;              /*  No free IO tag              */
662         u32     iocomp_timedout;        /*  IO timeouts         */
663         u32     iocom_nexus_abort;      /*  IO failure due to target offline */
664         u32     iocom_proto_err;        /*  IO protocol errors          */
665         u32     iocom_dif_err;          /*  IO SBC-3 protection errors  */
666
667         u32     iocom_sqer_needed;      /*  fcp-2 error recovery failed */
668         u32     iocom_res_free;         /*  Delayed freeing of IO tag   */
669
670
671         u32     io_aborts;              /*  Host IO abort requests      */
672         u32     iocom_hostabrts;        /*  Host IO abort completions   */
673         u32     io_cleanups;            /*  IO clean-up requests        */
674         u32     path_tov_expired;       /*  IO path tov expired */
675         u32     iocomp_aborted;         /*  IO abort completions        */
676         u32     io_iocdowns;            /*  IO cleaned-up due to IOC down */
677         u32     iocom_utags;            /*  IO comp with unknown tags   */
678
679         u32     io_tmaborts;            /*  Abort request due to TM command */
680         u32     tm_io_comps;            /* Abort completion due to TM command */
681
682         u32     creates;                /*  IT Nexus create requests    */
683         u32     fw_create;              /*  IT Nexus FW create requests */
684         u32     create_comps;           /*  IT Nexus FW create completions */
685         u32     onlines;                /*  IT Nexus onlines            */
686         u32     offlines;               /*  IT Nexus offlines           */
687         u32     fw_delete;              /*  IT Nexus FW delete requests */
688         u32     delete_comps;           /*  IT Nexus FW delete completions */
689         u32     deletes;                /*  IT Nexus delete requests       */
690         u32     sler_events;            /*  SLER events         */
691         u32     ioc_disabled;           /*  Num IOC disables            */
692         u32     cleanup_comps;          /*  IT Nexus cleanup completions    */
693
694         u32     tm_cmnds;               /*  TM Requests         */
695         u32     tm_fw_rsps;             /*  TM Completions              */
696         u32     tm_success;             /*  TM initiated IO cleanup success */
697         u32     tm_failures;            /*  TM initiated IO cleanup failure */
698         u32     no_tskims;              /*  No free TM tag              */
699         u32     tm_qwait;               /*  TM Request-Q wait           */
700         u32     tm_qresumes;            /*  TM Request-Q wait done      */
701
702         u32     tm_iocdowns;            /*  TM cleaned-up due to IOC down   */
703         u32     tm_cleanups;            /*  TM cleanup requests */
704         u32     tm_cleanup_comps;       /*  TM cleanup completions      */
705         u32     rsvd[6];
706 };
707
708 /* Modify char* port_stt[] in bfal_port.c if a new state was added */
709 enum bfa_port_states {
710         BFA_PORT_ST_UNINIT              = 1,
711         BFA_PORT_ST_ENABLING_QWAIT      = 2,
712         BFA_PORT_ST_ENABLING            = 3,
713         BFA_PORT_ST_LINKDOWN            = 4,
714         BFA_PORT_ST_LINKUP              = 5,
715         BFA_PORT_ST_DISABLING_QWAIT     = 6,
716         BFA_PORT_ST_DISABLING           = 7,
717         BFA_PORT_ST_DISABLED            = 8,
718         BFA_PORT_ST_STOPPED             = 9,
719         BFA_PORT_ST_IOCDOWN             = 10,
720         BFA_PORT_ST_IOCDIS              = 11,
721         BFA_PORT_ST_FWMISMATCH          = 12,
722         BFA_PORT_ST_PREBOOT_DISABLED    = 13,
723         BFA_PORT_ST_TOGGLING_QWAIT      = 14,
724         BFA_PORT_ST_FAA_MISCONFIG       = 15,
725         BFA_PORT_ST_DPORT               = 16,
726         BFA_PORT_ST_MAX_STATE,
727 };
728
729 /*
730  *      Port operational type (in sync with SNIA port type).
731  */
732 enum bfa_port_type {
733         BFA_PORT_TYPE_UNKNOWN   = 1,    /*  port type is unknown */
734         BFA_PORT_TYPE_NPORT     = 5,    /*  P2P with switched fabric */
735         BFA_PORT_TYPE_NLPORT    = 6,    /*  public loop */
736         BFA_PORT_TYPE_LPORT     = 20,   /*  private loop */
737         BFA_PORT_TYPE_P2P       = 21,   /*  P2P with no switched fabric */
738         BFA_PORT_TYPE_VPORT     = 22,   /*  NPIV - virtual port */
739 };
740
741 /*
742  *      Port topology setting. A port's topology and fabric login status
743  *      determine its operational type.
744  */
745 enum bfa_port_topology {
746         BFA_PORT_TOPOLOGY_NONE = 0,     /*  No valid topology */
747         BFA_PORT_TOPOLOGY_P2P_OLD_VER = 1, /* P2P def for older ver */
748         BFA_PORT_TOPOLOGY_LOOP = 2,     /* LOOP topology */
749         BFA_PORT_TOPOLOGY_AUTO_OLD_VER = 3, /* auto def for older ver */
750         BFA_PORT_TOPOLOGY_AUTO = 4,     /* auto topology selection */
751         BFA_PORT_TOPOLOGY_P2P = 5,      /* P2P only */
752 };
753
754 /*
755  *      Physical port loopback types.
756  */
757 enum bfa_port_opmode {
758         BFA_PORT_OPMODE_NORMAL   = 0x00, /*  normal non-loopback mode */
759         BFA_PORT_OPMODE_LB_INT   = 0x01, /*  internal loop back */
760         BFA_PORT_OPMODE_LB_SLW   = 0x02, /*  serial link wrapback (serdes) */
761         BFA_PORT_OPMODE_LB_EXT   = 0x04, /*  external loop back (serdes) */
762         BFA_PORT_OPMODE_LB_CBL   = 0x08, /*  cabled loop back */
763         BFA_PORT_OPMODE_LB_NLINT = 0x20, /*  NL_Port internal loopback */
764 };
765
766 #define BFA_PORT_OPMODE_LB_HARD(_mode)                  \
767         ((_mode == BFA_PORT_OPMODE_LB_INT) ||           \
768         (_mode == BFA_PORT_OPMODE_LB_SLW) ||            \
769         (_mode == BFA_PORT_OPMODE_LB_EXT))
770
771 /*
772  *      Port link state
773  */
774 enum bfa_port_linkstate {
775         BFA_PORT_LINKUP         = 1,    /*  Physical port/Trunk link up */
776         BFA_PORT_LINKDOWN       = 2,    /*  Physical port/Trunk link down */
777 };
778
779 /*
780  *      Port link state reason code
781  */
782 enum bfa_port_linkstate_rsn {
783         BFA_PORT_LINKSTATE_RSN_NONE             = 0,
784         BFA_PORT_LINKSTATE_RSN_DISABLED         = 1,
785         BFA_PORT_LINKSTATE_RSN_RX_NOS           = 2,
786         BFA_PORT_LINKSTATE_RSN_RX_OLS           = 3,
787         BFA_PORT_LINKSTATE_RSN_RX_LIP           = 4,
788         BFA_PORT_LINKSTATE_RSN_RX_LIPF7         = 5,
789         BFA_PORT_LINKSTATE_RSN_SFP_REMOVED      = 6,
790         BFA_PORT_LINKSTATE_RSN_PORT_FAULT       = 7,
791         BFA_PORT_LINKSTATE_RSN_RX_LOS           = 8,
792         BFA_PORT_LINKSTATE_RSN_LOCAL_FAULT      = 9,
793         BFA_PORT_LINKSTATE_RSN_REMOTE_FAULT     = 10,
794         BFA_PORT_LINKSTATE_RSN_TIMEOUT          = 11,
795         BFA_PORT_LINKSTATE_RSN_FAA_MISCONFIG    = 12,
796
797
798
799         /* CEE related reason codes/errors */
800         CEE_LLDP_INFO_AGED_OUT                  = 20,
801         CEE_LLDP_SHUTDOWN_TLV_RCVD              = 21,
802         CEE_PEER_NOT_ADVERTISE_DCBX             = 22,
803         CEE_PEER_NOT_ADVERTISE_PG               = 23,
804         CEE_PEER_NOT_ADVERTISE_PFC              = 24,
805         CEE_PEER_NOT_ADVERTISE_FCOE             = 25,
806         CEE_PG_NOT_COMPATIBLE                   = 26,
807         CEE_PFC_NOT_COMPATIBLE                  = 27,
808         CEE_FCOE_NOT_COMPATIBLE                 = 28,
809         CEE_BAD_PG_RCVD                         = 29,
810         CEE_BAD_BW_RCVD                         = 30,
811         CEE_BAD_PFC_RCVD                        = 31,
812         CEE_BAD_APP_PRI_RCVD                    = 32,
813         CEE_FCOE_PRI_PFC_OFF                    = 33,
814         CEE_DUP_CONTROL_TLV_RCVD                = 34,
815         CEE_DUP_FEAT_TLV_RCVD                   = 35,
816         CEE_APPLY_NEW_CFG                       = 36, /* reason, not error */
817         CEE_PROTOCOL_INIT                       = 37, /* reason, not error */
818         CEE_PHY_LINK_DOWN                       = 38,
819         CEE_LLS_FCOE_ABSENT                     = 39,
820         CEE_LLS_FCOE_DOWN                       = 40,
821         CEE_ISCSI_NOT_COMPATIBLE                = 41,
822         CEE_ISCSI_PRI_PFC_OFF                   = 42,
823         CEE_ISCSI_PRI_OVERLAP_FCOE_PRI          = 43
824 };
825
826 #define MAX_LUN_MASK_CFG 16
827
828 /*
829  * Initially flash content may be fff. On making LUN mask enable and disable
830  * state chnage.  when report lun command is being processed it goes from
831  * BFA_LUN_MASK_ACTIVE to BFA_LUN_MASK_FETCH and comes back to
832  * BFA_LUN_MASK_ACTIVE.
833  */
834 enum bfa_ioim_lun_mask_state_s {
835         BFA_IOIM_LUN_MASK_INACTIVE = 0,
836         BFA_IOIM_LUN_MASK_ACTIVE = 1,
837         BFA_IOIM_LUN_MASK_FETCHED = 2,
838 };
839
840 enum bfa_lunmask_state_s {
841         BFA_LUNMASK_DISABLED = 0x00,
842         BFA_LUNMASK_ENABLED = 0x01,
843         BFA_LUNMASK_MINCFG = 0x02,
844         BFA_LUNMASK_UNINITIALIZED = 0xff,
845 };
846
847 #pragma pack(1)
848 /*
849  * LUN mask configuration
850  */
851 struct bfa_lun_mask_s {
852         wwn_t           lp_wwn;
853         wwn_t           rp_wwn;
854         struct scsi_lun lun;
855         u8              ua;
856         u8              rsvd[3];
857         u16             rp_tag;
858         u8              lp_tag;
859         u8              state;
860 };
861
862 #define MAX_LUN_MASK_CFG 16
863 struct bfa_lunmask_cfg_s {
864         u32     status;
865         u32     rsvd;
866         struct bfa_lun_mask_s   lun_list[MAX_LUN_MASK_CFG];
867 };
868
869 /*
870  *      Physical port configuration
871  */
872 struct bfa_port_cfg_s {
873         u8       topology;      /*  bfa_port_topology           */
874         u8       speed;         /*  enum bfa_port_speed */
875         u8       trunked;       /*  trunked or not              */
876         u8       qos_enabled;   /*  qos enabled or not          */
877         u8       cfg_hardalpa;  /*  is hard alpa configured     */
878         u8       hardalpa;      /*  configured hard alpa        */
879         __be16   maxfrsize;     /*  maximum frame size          */
880         u8       rx_bbcredit;   /*  receive buffer credits      */
881         u8       tx_bbcredit;   /*  transmit buffer credits     */
882         u8       ratelimit;     /*  ratelimit enabled or not    */
883         u8       trl_def_speed; /*  ratelimit default speed     */
884         u8       bb_scn;        /*  BB_SCN value from FLOGI Exchg */
885         u8       bb_scn_state;  /*  Config state of BB_SCN */
886         u8       faa_state;     /*  FAA enabled/disabled        */
887         u8       rsvd1;
888         u16      path_tov;      /*  device path timeout */
889         u16      q_depth;       /*  SCSI Queue depth            */
890         u32      rsvd2;
891 };
892 #pragma pack()
893
894 /*
895  *      Port attribute values.
896  */
897 struct bfa_port_attr_s {
898         /*
899          * Static fields
900          */
901         wwn_t                   nwwn;           /*  node wwn */
902         wwn_t                   pwwn;           /*  port wwn */
903         wwn_t                   factorynwwn;    /*  factory node wwn */
904         wwn_t                   factorypwwn;    /*  factory port wwn */
905         enum fc_cos             cos_supported;  /*  supported class of
906                                                  *  services */
907         u32                     rsvd;
908         struct fc_symname_s     port_symname;   /*  port symbolic name */
909         enum bfa_port_speed     speed_supported; /* supported speeds */
910         bfa_boolean_t           pbind_enabled;
911
912         /*
913          * Configured values
914          */
915         struct bfa_port_cfg_s   pport_cfg;      /*  pport cfg */
916
917         /*
918          * Dynamic field - info from BFA
919          */
920         enum bfa_port_states    port_state;     /*  current port state */
921         enum bfa_port_speed     speed;          /*  current speed */
922         enum bfa_port_topology  topology;       /*  current topology */
923         bfa_boolean_t           beacon;         /*  current beacon status */
924         bfa_boolean_t           link_e2e_beacon; /* link beacon is on */
925         bfa_boolean_t           bbsc_op_status; /* fc credit recovery oper
926                                                  * state */
927
928         /*
929          * Dynamic field - info from FCS
930          */
931         u32                     pid;            /*  port ID */
932         enum bfa_port_type      port_type;      /*  current topology */
933         u32                     loopback;       /*  external loopback */
934         u32                     authfail;       /*  auth fail state */
935
936         /* FCoE specific  */
937         u16                     fcoe_vlan;
938         u8                      rsvd1[2];
939 };
940
941 /*
942  *            Port FCP mappings.
943  */
944 struct bfa_port_fcpmap_s {
945         char    osdevname[256];
946         u32     bus;
947         u32     target;
948         u32     oslun;
949         u32     fcid;
950         wwn_t   nwwn;
951         wwn_t   pwwn;
952         u64     fcplun;
953         char    luid[256];
954 };
955
956 /*
957  *            Port RNID info.
958  */
959 struct bfa_port_rnid_s {
960         wwn_t     wwn;
961         u32       unittype;
962         u32       portid;
963         u32       attached_nodes_num;
964         u16       ip_version;
965         u16       udp_port;
966         u8        ipaddr[16];
967         u16       rsvd;
968         u16       topologydiscoveryflags;
969 };
970
971 #pragma pack(1)
972 struct bfa_fcport_fcf_s {
973         wwn_t   name;           /*  FCF name               */
974         wwn_t   fabric_name;    /*  Fabric Name            */
975         u8      fipenabled;     /*  FIP enabled or not     */
976         u8      fipfailed;      /*  FIP failed or not      */
977         u8      resv[2];
978         u8      pri;            /*  FCF priority           */
979         u8      version;        /*  FIP version used       */
980         u8      available;      /*  Available for login    */
981         u8      fka_disabled;   /*  FKA is disabled        */
982         u8      maxsz_verified; /*  FCoE max size verified */
983         u8      fc_map[3];      /*  FC map                 */
984         __be16  vlan;           /*  FCoE vlan tag/priority */
985         u32     fka_adv_per;    /*  FIP  ka advert. period */
986         mac_t   mac;            /*  FCF mac                */
987 };
988
989 /*
990  *      Trunk states for BCU/BFAL
991  */
992 enum bfa_trunk_state {
993         BFA_TRUNK_DISABLED      = 0,    /*  Trunk is not configured     */
994         BFA_TRUNK_ONLINE        = 1,    /*  Trunk is online             */
995         BFA_TRUNK_OFFLINE       = 2,    /*  Trunk is offline            */
996 };
997
998 /*
999  *      VC attributes for trunked link
1000  */
1001 struct bfa_trunk_vc_attr_s {
1002         u32 bb_credit;
1003         u32 elp_opmode_flags;
1004         u32 req_credit;
1005         u16 vc_credits[8];
1006 };
1007
1008 struct bfa_fcport_loop_info_s {
1009         u8      myalpa;         /* alpa claimed */
1010         u8      alpabm_val;     /* alpa bitmap valid or not (1 or 0) */
1011         u8      resvd[6];
1012         struct fc_alpabm_s alpabm;      /* alpa bitmap */
1013 };
1014
1015 /*
1016  *      Link state information
1017  */
1018 struct bfa_port_link_s {
1019         u8       linkstate;     /*  Link state bfa_port_linkstate */
1020         u8       linkstate_rsn; /*  bfa_port_linkstate_rsn_t */
1021         u8       topology;      /*  P2P/LOOP bfa_port_topology */
1022         u8       speed;         /*  Link speed (1/2/4/8 G) */
1023         u32      linkstate_opt; /*  Linkstate optional data (debug) */
1024         u8       trunked;       /*  Trunked or not (1 or 0) */
1025         u8       resvd[7];
1026         struct bfa_qos_attr_s  qos_attr;   /* QoS Attributes */
1027         union {
1028                 struct bfa_fcport_loop_info_s loop_info;
1029                 union {
1030                         struct bfa_qos_vc_attr_s qos_vc_attr;
1031                                         /*  VC info from ELP */
1032                         struct bfa_trunk_vc_attr_s trunk_vc_attr;
1033                         struct bfa_fcport_fcf_s fcf;
1034                                         /*  FCF information (for FCoE) */
1035                 } vc_fcf;
1036         } attr;
1037 };
1038 #pragma pack()
1039
1040 enum bfa_trunk_link_fctl {
1041         BFA_TRUNK_LINK_FCTL_NORMAL,
1042         BFA_TRUNK_LINK_FCTL_VC,
1043         BFA_TRUNK_LINK_FCTL_VC_QOS,
1044 };
1045
1046 enum bfa_trunk_link_state {
1047         BFA_TRUNK_LINK_STATE_UP = 1,            /* link part of trunk */
1048         BFA_TRUNK_LINK_STATE_DN_LINKDN = 2,     /* physical link down */
1049         BFA_TRUNK_LINK_STATE_DN_GRP_MIS = 3,    /* trunk group different */
1050         BFA_TRUNK_LINK_STATE_DN_SPD_MIS = 4,    /* speed mismatch */
1051         BFA_TRUNK_LINK_STATE_DN_MODE_MIS = 5,   /* remote port not trunked */
1052 };
1053
1054 #define BFA_TRUNK_MAX_PORTS     2
1055 struct bfa_trunk_link_attr_s {
1056         wwn_t    trunk_wwn;
1057         enum bfa_trunk_link_fctl fctl;
1058         enum bfa_trunk_link_state link_state;
1059         enum bfa_port_speed     speed;
1060         u32 deskew;
1061 };
1062
1063 struct bfa_trunk_attr_s {
1064         enum bfa_trunk_state    state;
1065         enum bfa_port_speed     speed;
1066         u32             port_id;
1067         u32             rsvd;
1068         struct bfa_trunk_link_attr_s link_attr[BFA_TRUNK_MAX_PORTS];
1069 };
1070
1071 struct bfa_rport_hal_stats_s {
1072         u32        sm_un_cr;        /*  uninit: create events      */
1073         u32        sm_un_unexp;     /*  uninit: exception events   */
1074         u32        sm_cr_on;        /*  created: online events     */
1075         u32        sm_cr_del;       /*  created: delete events     */
1076         u32        sm_cr_hwf;       /*  created: IOC down          */
1077         u32        sm_cr_unexp;     /*  created: exception events  */
1078         u32        sm_fwc_rsp;      /*  fw create: f/w responses   */
1079         u32        sm_fwc_del;      /*  fw create: delete events   */
1080         u32        sm_fwc_off;      /*  fw create: offline events  */
1081         u32        sm_fwc_hwf;      /*  fw create: IOC down        */
1082         u32        sm_fwc_unexp;    /*  fw create: exception events*/
1083         u32        sm_on_off;       /*  online: offline events     */
1084         u32        sm_on_del;       /*  online: delete events      */
1085         u32        sm_on_hwf;       /*  online: IOC down events    */
1086         u32        sm_on_unexp;     /*  online: exception events   */
1087         u32        sm_fwd_rsp;      /*  fw delete: fw responses    */
1088         u32        sm_fwd_del;      /*  fw delete: delete events   */
1089         u32        sm_fwd_hwf;      /*  fw delete: IOC down events */
1090         u32        sm_fwd_unexp;    /*  fw delete: exception events*/
1091         u32        sm_off_del;      /*  offline: delete events     */
1092         u32        sm_off_on;       /*  offline: online events     */
1093         u32        sm_off_hwf;      /*  offline: IOC down events   */
1094         u32        sm_off_unexp;    /*  offline: exception events  */
1095         u32        sm_del_fwrsp;    /*  delete: fw responses       */
1096         u32        sm_del_hwf;      /*  delete: IOC down events    */
1097         u32        sm_del_unexp;    /*  delete: exception events   */
1098         u32        sm_delp_fwrsp;   /*  delete pend: fw responses  */
1099         u32        sm_delp_hwf;     /*  delete pend: IOC downs     */
1100         u32        sm_delp_unexp;   /*  delete pend: exceptions    */
1101         u32        sm_offp_fwrsp;   /*  off-pending: fw responses  */
1102         u32        sm_offp_del;     /*  off-pending: deletes       */
1103         u32        sm_offp_hwf;     /*  off-pending: IOC downs     */
1104         u32        sm_offp_unexp;   /*  off-pending: exceptions    */
1105         u32        sm_iocd_off;     /*  IOC down: offline events   */
1106         u32        sm_iocd_del;     /*  IOC down: delete events    */
1107         u32        sm_iocd_on;      /*  IOC down: online events    */
1108         u32        sm_iocd_unexp;   /*  IOC down: exceptions       */
1109         u32        rsvd;
1110 };
1111 #pragma pack(1)
1112 /*
1113  *  Rport's QoS attributes
1114  */
1115 struct bfa_rport_qos_attr_s {
1116         u8              qos_priority;   /*  rport's QoS priority   */
1117         u8              rsvd[3];
1118         u32             qos_flow_id;    /*  QoS flow Id  */
1119 };
1120 #pragma pack()
1121
1122 #define BFA_IOBUCKET_MAX 14
1123
1124 struct bfa_itnim_latency_s {
1125         u32 min[BFA_IOBUCKET_MAX];
1126         u32 max[BFA_IOBUCKET_MAX];
1127         u32 count[BFA_IOBUCKET_MAX];
1128         u32 avg[BFA_IOBUCKET_MAX];
1129 };
1130
1131 struct bfa_itnim_ioprofile_s {
1132         u32 clock_res_mul;
1133         u32 clock_res_div;
1134         u32 index;
1135         u32 io_profile_start_time;      /*  IO profile start time       */
1136         u32 iocomps[BFA_IOBUCKET_MAX];  /*  IO completed        */
1137         struct bfa_itnim_latency_s io_latency;
1138 };
1139
1140 /*
1141  *      vHBA port attribute values.
1142  */
1143 struct bfa_vhba_attr_s {
1144         wwn_t   nwwn;       /* node wwn */
1145         wwn_t   pwwn;       /* port wwn */
1146         u32     pid;        /* port ID */
1147         bfa_boolean_t       io_profile; /* get it from fcpim mod */
1148         bfa_boolean_t       plog_enabled;   /* portlog is enabled */
1149         u16     path_tov;
1150         u8      rsvd[2];
1151 };
1152
1153 /*
1154  * FC physical port statistics.
1155  */
1156 struct bfa_port_fc_stats_s {
1157         u64     secs_reset;     /*  Seconds since stats is reset */
1158         u64     tx_frames;      /*  Tx frames                   */
1159         u64     tx_words;       /*  Tx words                    */
1160         u64     tx_lip;         /*  Tx LIP                      */
1161         u64     tx_lip_f7f7;    /*  Tx LIP_F7F7         */
1162         u64     tx_lip_f8f7;    /*  Tx LIP_F8F7         */
1163         u64     tx_arbf0;       /*  Tx ARB F0                   */
1164         u64     tx_nos;         /*  Tx NOS                      */
1165         u64     tx_ols;         /*  Tx OLS                      */
1166         u64     tx_lr;          /*  Tx LR                       */
1167         u64     tx_lrr;         /*  Tx LRR                      */
1168         u64     rx_frames;      /*  Rx frames                   */
1169         u64     rx_words;       /*  Rx words                    */
1170         u64     lip_count;      /*  Rx LIP                      */
1171         u64     rx_lip_f7f7;    /*  Rx LIP_F7F7         */
1172         u64     rx_lip_f8f7;    /*  Rx LIP_F8F7         */
1173         u64     rx_arbf0;       /*  Rx ARB F0                   */
1174         u64     nos_count;      /*  Rx NOS                      */
1175         u64     ols_count;      /*  Rx OLS                      */
1176         u64     lr_count;       /*  Rx LR                       */
1177         u64     lrr_count;      /*  Rx LRR                      */
1178         u64     invalid_crcs;   /*  Rx CRC err frames           */
1179         u64     invalid_crc_gd_eof; /*  Rx CRC err good EOF frames */
1180         u64     undersized_frm; /*  Rx undersized frames        */
1181         u64     oversized_frm;  /*  Rx oversized frames */
1182         u64     bad_eof_frm;    /*  Rx frames with bad EOF      */
1183         u64     error_frames;   /*  Errored frames              */
1184         u64     dropped_frames; /*  Dropped frames              */
1185         u64     link_failures;  /*  Link Failure (LF) count     */
1186         u64     loss_of_syncs;  /*  Loss of sync count          */
1187         u64     loss_of_signals; /*  Loss of signal count       */
1188         u64     primseq_errs;   /*  Primitive sequence protocol err. */
1189         u64     bad_os_count;   /*  Invalid ordered sets        */
1190         u64     err_enc_out;    /*  Encoding err nonframe_8b10b */
1191         u64     err_enc;        /*  Encoding err frame_8b10b    */
1192         u64     bbsc_frames_lost; /* Credit Recovery-Frames Lost  */
1193         u64     bbsc_credits_lost; /* Credit Recovery-Credits Lost */
1194         u64     bbsc_link_resets; /* Credit Recovery-Link Resets   */
1195         u64     loop_timeouts;  /*  Loop timeouts               */
1196 };
1197
1198 /*
1199  * Eth Physical Port statistics.
1200  */
1201 struct bfa_port_eth_stats_s {
1202         u64     secs_reset;     /*  Seconds since stats is reset */
1203         u64     frame_64;       /*  Frames 64 bytes             */
1204         u64     frame_65_127;   /*  Frames 65-127 bytes */
1205         u64     frame_128_255;  /*  Frames 128-255 bytes        */
1206         u64     frame_256_511;  /*  Frames 256-511 bytes        */
1207         u64     frame_512_1023; /*  Frames 512-1023 bytes       */
1208         u64     frame_1024_1518; /*  Frames 1024-1518 bytes     */
1209         u64     frame_1519_1522; /*  Frames 1519-1522 bytes     */
1210         u64     tx_bytes;       /*  Tx bytes                    */
1211         u64     tx_packets;      /*  Tx packets         */
1212         u64     tx_mcast_packets; /*  Tx multicast packets      */
1213         u64     tx_bcast_packets; /*  Tx broadcast packets      */
1214         u64     tx_control_frame; /*  Tx control frame          */
1215         u64     tx_drop;        /*  Tx drops                    */
1216         u64     tx_jabber;      /*  Tx jabber                   */
1217         u64     tx_fcs_error;   /*  Tx FCS errors               */
1218         u64     tx_fragments;   /*  Tx fragments                */
1219         u64     rx_bytes;       /*  Rx bytes                    */
1220         u64     rx_packets;     /*  Rx packets                  */
1221         u64     rx_mcast_packets; /*  Rx multicast packets      */
1222         u64     rx_bcast_packets; /*  Rx broadcast packets      */
1223         u64     rx_control_frames; /*  Rx control frames        */
1224         u64     rx_unknown_opcode; /*  Rx unknown opcode        */
1225         u64     rx_drop;        /*  Rx drops                    */
1226         u64     rx_jabber;      /*  Rx jabber                   */
1227         u64     rx_fcs_error;   /*  Rx FCS errors               */
1228         u64     rx_alignment_error; /*  Rx alignment errors     */
1229         u64     rx_frame_length_error; /*  Rx frame len errors  */
1230         u64     rx_code_error;  /*  Rx code errors              */
1231         u64     rx_fragments;   /*  Rx fragments                */
1232         u64     rx_pause;       /*  Rx pause                    */
1233         u64     rx_zero_pause;  /*  Rx zero pause               */
1234         u64     tx_pause;       /*  Tx pause                    */
1235         u64     tx_zero_pause;  /*  Tx zero pause               */
1236         u64     rx_fcoe_pause;  /*  Rx FCoE pause               */
1237         u64     rx_fcoe_zero_pause; /*  Rx FCoE zero pause      */
1238         u64     tx_fcoe_pause;  /*  Tx FCoE pause               */
1239         u64     tx_fcoe_zero_pause; /*  Tx FCoE zero pause      */
1240         u64     rx_iscsi_pause; /*  Rx iSCSI pause              */
1241         u64     rx_iscsi_zero_pause; /*  Rx iSCSI zero pause    */
1242         u64     tx_iscsi_pause; /*  Tx iSCSI pause              */
1243         u64     tx_iscsi_zero_pause; /*  Tx iSCSI zero pause    */
1244 };
1245
1246 /*
1247  *              Port statistics.
1248  */
1249 union bfa_port_stats_u {
1250         struct bfa_port_fc_stats_s      fc;
1251         struct bfa_port_eth_stats_s     eth;
1252 };
1253
1254 struct bfa_port_cfg_mode_s {
1255         u16             max_pf;
1256         u16             max_vf;
1257         enum bfa_mode_s mode;
1258 };
1259
1260 #pragma pack(1)
1261
1262 #define BFA_CEE_LLDP_MAX_STRING_LEN     (128)
1263 #define BFA_CEE_DCBX_MAX_PRIORITY       (8)
1264 #define BFA_CEE_DCBX_MAX_PGID           (8)
1265
1266 struct bfa_cee_lldp_str_s {
1267         u8      sub_type;
1268         u8      len;
1269         u8      rsvd[2];
1270         u8      value[BFA_CEE_LLDP_MAX_STRING_LEN];
1271 };
1272
1273 struct bfa_cee_lldp_cfg_s {
1274         struct bfa_cee_lldp_str_s chassis_id;
1275         struct bfa_cee_lldp_str_s port_id;
1276         struct bfa_cee_lldp_str_s port_desc;
1277         struct bfa_cee_lldp_str_s sys_name;
1278         struct bfa_cee_lldp_str_s sys_desc;
1279         struct bfa_cee_lldp_str_s mgmt_addr;
1280         u16     time_to_live;
1281         u16     enabled_system_cap;
1282 };
1283
1284 /* CEE/DCBX parameters */
1285 struct bfa_cee_dcbx_cfg_s {
1286         u8      pgid[BFA_CEE_DCBX_MAX_PRIORITY];
1287         u8      pg_percentage[BFA_CEE_DCBX_MAX_PGID];
1288         u8      pfc_primap; /* bitmap of priorties with PFC enabled */
1289         u8      fcoe_primap; /* bitmap of priorities used for FcoE traffic */
1290         u8      iscsi_primap; /* bitmap of priorities used for iSCSI traffic */
1291         u8      dcbx_version; /* operating version:CEE or preCEE */
1292         u8      lls_fcoe; /* FCoE Logical Link Status */
1293         u8      lls_lan; /* LAN Logical Link Status */
1294         u8      rsvd[2];
1295 };
1296
1297 /* CEE Query */
1298 struct bfa_cee_attr_s {
1299         u8      cee_status;
1300         u8      error_reason;
1301         struct bfa_cee_lldp_cfg_s lldp_remote;
1302         struct bfa_cee_dcbx_cfg_s dcbx_remote;
1303         mac_t src_mac;
1304         u8      link_speed;
1305         u8      nw_priority;
1306         u8      filler[2];
1307 };
1308
1309 /* LLDP/DCBX/CEE Statistics */
1310 struct bfa_cee_stats_s {
1311         u32             lldp_tx_frames;         /* LLDP Tx Frames */
1312         u32             lldp_rx_frames;         /* LLDP Rx Frames */
1313         u32             lldp_rx_frames_invalid; /* LLDP Rx Frames invalid */
1314         u32             lldp_rx_frames_new;     /* LLDP Rx Frames new */
1315         u32             lldp_tlvs_unrecognized; /* LLDP Rx unrecog. TLVs */
1316         u32             lldp_rx_shutdown_tlvs;  /* LLDP Rx shutdown TLVs */
1317         u32             lldp_info_aged_out;     /* LLDP remote info aged */
1318         u32             dcbx_phylink_ups;       /* DCBX phy link ups */
1319         u32             dcbx_phylink_downs;     /* DCBX phy link downs */
1320         u32             dcbx_rx_tlvs;           /* DCBX Rx TLVs */
1321         u32             dcbx_rx_tlvs_invalid;   /* DCBX Rx TLVs invalid */
1322         u32             dcbx_control_tlv_error; /* DCBX control TLV errors */
1323         u32             dcbx_feature_tlv_error; /* DCBX feature TLV errors */
1324         u32             dcbx_cee_cfg_new;       /* DCBX new CEE cfg rcvd */
1325         u32             cee_status_down;        /* DCB status down */
1326         u32             cee_status_up;          /* DCB status up */
1327         u32             cee_hw_cfg_changed;     /* DCB hw cfg changed */
1328         u32             cee_rx_invalid_cfg;     /* DCB invalid cfg */
1329 };
1330
1331 #pragma pack()
1332
1333 /*
1334  *                      AEN related definitions
1335  */
1336 #define BFAD_NL_VENDOR_ID (((u64)0x01 << SCSI_NL_VID_TYPE_SHIFT) \
1337                            | BFA_PCI_VENDOR_ID_BROCADE)
1338
1339 /* BFA remote port events */
1340 enum bfa_rport_aen_event {
1341         BFA_RPORT_AEN_ONLINE     = 1,   /* RPort online event */
1342         BFA_RPORT_AEN_OFFLINE    = 2,   /* RPort offline event */
1343         BFA_RPORT_AEN_DISCONNECT = 3,   /* RPort disconnect event */
1344         BFA_RPORT_AEN_QOS_PRIO   = 4,   /* QOS priority change event */
1345         BFA_RPORT_AEN_QOS_FLOWID = 5,   /* QOS flow Id change event */
1346 };
1347
1348 struct bfa_rport_aen_data_s {
1349         u16             vf_id;  /* vf_id of this logical port */
1350         u16             rsvd[3];
1351         wwn_t           ppwwn;  /* WWN of its physical port */
1352         wwn_t           lpwwn;  /* WWN of this logical port */
1353         wwn_t           rpwwn;  /* WWN of this remote port */
1354         union {
1355                 struct bfa_rport_qos_attr_s qos;
1356         } priv;
1357 };
1358
1359 union bfa_aen_data_u {
1360         struct bfa_adapter_aen_data_s   adapter;
1361         struct bfa_port_aen_data_s      port;
1362         struct bfa_lport_aen_data_s     lport;
1363         struct bfa_rport_aen_data_s     rport;
1364         struct bfa_itnim_aen_data_s     itnim;
1365         struct bfa_audit_aen_data_s     audit;
1366         struct bfa_ioc_aen_data_s       ioc;
1367 };
1368
1369 #define BFA_AEN_MAX_ENTRY       512
1370
1371 struct bfa_aen_entry_s {
1372         struct list_head        qe;
1373         enum bfa_aen_category   aen_category;
1374         u32                     aen_type;
1375         union bfa_aen_data_u    aen_data;
1376         struct timeval          aen_tv;
1377         u32                     seq_num;
1378         u32                     bfad_num;
1379 };
1380
1381 #endif /* __BFA_DEFS_SVC_H__ */