Merge branch 'for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/viro/vfs-2.6
[pandora-kernel.git] / drivers / staging / xgifb / vb_table.h
1 #define  Tap4
2
3
4 XGI_MCLKDataStruct XGI330New_MCLKData[]=
5 {
6  { 0x5c,0x23,0x01,166},
7  { 0x5c,0x23,0x01,166},
8  { 0x7C,0x08,0x80,200},
9  { 0x79,0x06,0x80,250},
10  { 0x29,0x01,0x81,300},
11  { 0x29,0x01,0x81,300},
12  { 0x29,0x01,0x81,300},
13  { 0x29,0x01,0x81,300}
14 };
15 //yilin modify for xgi20
16 XGI_MCLKDataStruct XGI340New_MCLKData[]=
17 {
18  { 0x16,0x01,0x01,166},
19  { 0x19,0x02,0x01,124},
20  { 0x7C,0x08,0x01,200},
21  { 0x79,0x06,0x01,250},
22  { 0x29,0x01,0x81,301},
23  { 0x5c,0x23,0x01,166},
24  { 0x5c,0x23,0x01,166},
25  { 0x5c,0x23,0x01,166}
26 };
27
28 XGI_MCLKDataStruct XGI27New_MCLKData[]=
29 {
30  { 0x5c,0x23,0x01,166},
31  { 0x19,0x02,0x01,124},
32  { 0x7C,0x08,0x80,200},
33  { 0x79,0x06,0x80,250},
34  { 0x29,0x01,0x81,300},
35  { 0x5c,0x23,0x01,166},
36  { 0x5c,0x23,0x01,166},
37  { 0x5c,0x23,0x01,166}
38 };
39
40 XGI_ECLKDataStruct XGI330_ECLKData[]=
41 {
42  { 0x7c,0x08,0x01,200},
43  { 0x7c,0x08,0x01,200},
44  { 0x7C,0x08,0x80,200},
45  { 0x79,0x06,0x80,250},
46  { 0x29,0x01,0x81,300},
47  { 0x29,0x01,0x81,300},
48  { 0x29,0x01,0x81,300},
49  { 0x29,0x01,0x81,300}
50 };
51 //yilin modify for xgi20
52 XGI_ECLKDataStruct XGI340_ECLKData[]=
53 {
54  { 0x5c,0x23,0x01,166},
55  { 0x55,0x84,0x01,123},
56  { 0x7C,0x08,0x01,200},
57  { 0x79,0x06,0x01,250},
58  { 0x29,0x01,0x81,301},
59  { 0x5c,0x23,0x01,166},
60  { 0x5c,0x23,0x01,166},
61  { 0x5c,0x23,0x01,166}
62 };
63
64
65
66 UCHAR XGI340_SR13[4][8]={
67 {0x35,0x45,0xb1,0x00,0x00,0x00,0x00,0x00},/* SR13 */
68 {0x41,0x51,0x5c,0x00,0x00,0x00,0x00,0x00},/* SR14 */
69 {0x31,0x42,0x42,0x00,0x00,0x00,0x00,0x00},/* SR18 */
70 {0x03,0x03,0x03,0x00,0x00,0x00,0x00,0x00}/* SR1B */
71 };
72
73 UCHAR XGI340_cr41[24][8]=
74 {{0x20,0x50,0x60,0x00,0x00,0x00,0x00,0x00},/* 0 CR41 */
75 {0xc4,0x40,0x84,0x00,0x00,0x00,0x00,0x00},/* 1 CR8A */
76 {0xc4,0x40,0x84,0x00,0x00,0x00,0x00,0x00},/* 2 CR8B */
77 {0xb5,0xa4,0xa4,0x00,0x00,0x00,0x00,0x00},
78 {0xf0,0xf0,0xf0,0x00,0x00,0x00,0x00,0x00},
79 {0x90,0x90,0x24,0x00,0x00,0x00,0x00,0x00},/* 5 CR68 */
80 {0x77,0x77,0x44,0x00,0x00,0x00,0x00,0x00},/* 6 CR69 */
81 {0x77,0x77,0x44,0x00,0x00,0x00,0x00,0x00},/* 7 CR6A */
82 {0xff,0xff,0xff,0x00,0x00,0x00,0x00,0x00},/* 8 CR6D */
83 {0x55,0x55,0x55,0x00,0x00,0x00,0x00,0x00},/* 9 CR80 */
84 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/* 10 CR81 */
85 {0x88,0xa8,0x48,0x00,0x00,0x00,0x00,0x00},/* 11 CR82 */
86 {0x44,0x44,0x77,0x00,0x00,0x00,0x00,0x00},/* 12 CR85 */
87 {0x48,0x48,0x88,0x00,0x00,0x00,0x00,0x00},/* 13 CR86 */
88 {0x54,0x54,0x44,0x00,0x00,0x00,0x00,0x00},/* 14 CR90 */
89 {0x54,0x54,0x44,0x00,0x00,0x00,0x00,0x00},/* 15 CR91 */
90 {0x0a,0x0a,0x07,0x00,0x00,0x00,0x00,0x00},/* 16 CR92 */
91 {0x44,0x44,0x44,0x00,0x00,0x00,0x00,0x00},/* 17 CR93 */
92 {0x10,0x10,0x0A,0x00,0x00,0x00,0x00,0x00},/* 18 CR94 */
93 {0x11,0x11,0x0a,0x00,0x00,0x00,0x00,0x00},/* 19 CR95 */
94 {0x05,0x05,0x05,0x00,0x00,0x00,0x00,0x00},/* 20 CR96 */
95 {0xf0,0xf0,0xf0,0x00,0x00,0x00,0x00,0x00},/* 21 CRC3 */
96 {0x05,0x00,0x02,0x00,0x00,0x00,0x00,0x00},/* 22 CRC4 */
97 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00}/* 23 CRC5 */
98 };
99
100
101 UCHAR XGI27_cr41[24][8]=
102 {
103 {0x20,0x40,0x60,0x00,0x00,0x00,0x00,0x00},/* 0 CR41 */
104 {0xC4,0x40,0x84,0x00,0x00,0x00,0x00,0x00},/* 1 CR8A */
105 {0xC4,0x40,0x84,0x00,0x00,0x00,0x00,0x00},/* 2 CR8B */
106 {0xB5,0x13,0xa4,0x00,0x00,0x00,0x00,0x00},/* 3 CR40[7],CR99[2:0],CR45[3:0]*/
107 {0xf0,0xf5,0xf0,0x00,0x00,0x00,0x00,0x00},/* 4 CR59 */
108 {0x90,0x90,0x24,0x00,0x00,0x00,0x00,0x00},/* 5 CR68 */
109 {0x77,0x67,0x44,0x00,0x00,0x00,0x00,0x00},/* 6 CR69 */
110 {0x77,0x77,0x44,0x00,0x00,0x00,0x00,0x00},/* 7 CR6A */
111 {0xff,0xff,0xff,0x00,0x00,0x00,0x00,0x00},/* 8 CR6D */
112 {0x55,0x55,0x55,0x00,0x00,0x00,0x00,0x00},/* 9 CR80 */
113 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/* 10 CR81 */
114 {0x88,0xcc,0x48,0x00,0x00,0x00,0x00,0x00},/* 11 CR82 */
115 {0x44,0x88,0x77,0x00,0x00,0x00,0x00,0x00},/* 12 CR85 */
116 {0x48,0x88,0x88,0x00,0x00,0x00,0x00,0x00},/* 13 CR86 */
117 {0x54,0x32,0x44,0x00,0x00,0x00,0x00,0x00},/* 14 CR90 */
118 {0x54,0x33,0x44,0x00,0x00,0x00,0x00,0x00},/* 15 CR91 */
119 {0x0a,0x07,0x07,0x00,0x00,0x00,0x00,0x00},/* 16 CR92 */
120 {0x44,0x63,0x44,0x00,0x00,0x00,0x00,0x00},/* 17 CR93 */
121 {0x10,0x14,0x0A,0x00,0x00,0x00,0x00,0x00},/* 18 CR94 */
122 {0x11,0x0B,0x0C,0x00,0x00,0x00,0x00,0x00},/* 19 CR95 */
123 {0x05,0x22,0x05,0x00,0x00,0x00,0x00,0x00},/* 20 CR96 */
124 {0xf0,0xf0,0x00,0x00,0x00,0x00,0x00,0x00},/* 21 CRC3 */
125 {0x05,0x00,0x02,0x00,0x00,0x00,0x00,0x00},/* 22 CRC4 */
126 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00}/* 23 CRC5 */
127 };
128
129
130 #if 0
131 UCHAR XGI27_cr41[24][8]=
132 {
133 {0x20,0x60,0x60,0x00,0x00,0x00,0x00,0x00},/* 0 CR41 */
134 {0x04,0x44,0x84,0x00,0x00,0x00,0x00,0x00},/* 1 CR8A */
135 {0x04,0x40,0x84,0x00,0x00,0x00,0x00,0x00},/* 2 CR8B */
136 {0xb5,0x03,0xa4,0x00,0x00,0x00,0x00,0x00},/* 3 CR40[7],CR99[2:0],CR45[3:0]*/
137 {0xf0,0xf5,0xf0,0x00,0x00,0x00,0x00,0x00},/* 4 CR59 */
138 {0xa4,0x1C,0x24,0x00,0x00,0x00,0x00,0x00},/* 5 CR68 */
139 {0x77,0x77,0x44,0x00,0x00,0x00,0x00,0x00},/* 6 CR69 */
140 {0x77,0x77,0x44,0x00,0x00,0x00,0x00,0x00},/* 7 CR6A */
141 {0xff,0xff,0xff,0x00,0x00,0x00,0x00,0x00},/* 8 CR6D */
142 {0x55,0x55,0x55,0x00,0x00,0x00,0x00,0x00},/* 9 CR80 */
143 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/* 10 CR81 */
144 {0x48,0xa8,0x48,0x00,0x00,0x00,0x00,0x00},/* 11 CR82 */
145 {0x77,0x88,0x77,0x00,0x00,0x00,0x00,0x00},/* 12 CR85 */
146 {0x88,0x88,0x88,0x00,0x00,0x00,0x00,0x00},/* 13 CR86 */
147 {0x44,0x32,0x44,0x00,0x00,0x00,0x00,0x00},/* 14 CR90 */
148 {0x44,0x33,0x44,0x00,0x00,0x00,0x00,0x00},/* 15 CR91 */
149 {0x07,0x07,0x07,0x00,0x00,0x00,0x00,0x00},/* 16 CR92 */
150 {0x44,0x63,0x44,0x00,0x00,0x00,0x00,0x00},/* 17 CR93 */
151 {0x0A,0x14,0x0A,0x00,0x00,0x00,0x00,0x00},/* 18 CR94 */
152 {0x0C,0x0B,0x0C,0x00,0x00,0x00,0x00,0x00},/* 19 CR95 */
153 {0x05,0x22,0x05,0x00,0x00,0x00,0x00,0x00},/* 20 CR96 */
154 {0xf0,0xf0,0xf0,0x00,0x00,0x00,0x00,0x00},/* 21 CRC3 */
155 {0x03,0x00,0x02,0x00,0x00,0x00,0x00,0x00},/* 22 CRC4 */
156 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00}/* 23 CRC5 */
157 };
158 #endif
159 UCHAR XGI340_CR6B[8][4]={
160 {0xaa,0xaa,0xaa,0xaa},
161 {0xaa,0xaa,0xaa,0xaa},
162 {0xaa,0xaa,0xaa,0xaa},
163 {0x00,0x00,0x00,0x00},
164 {0x00,0x00,0x00,0x00},
165 {0x00,0x00,0x00,0x00},
166 {0x00,0x00,0x00,0x00},
167 {0x00,0x00,0x00,0x00}
168 };
169
170 UCHAR XGI340_CR6E[8][4]={
171 {0x00,0x00,0x00,0x00},
172 {0x00,0x00,0x00,0x00},
173 {0x00,0x00,0x00,0x00},
174 {0x00,0x00,0x00,0x00},
175 {0x00,0x00,0x00,0x00},
176 {0x00,0x00,0x00,0x00},
177 {0x00,0x00,0x00,0x00},
178 {0x00,0x00,0x00,0x00}
179 };
180
181 UCHAR XGI340_CR6F[8][32]={
182 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
183 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
184 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
185 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
186 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
187 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
188 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
189 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00}
190 };
191
192 UCHAR XGI340_CR89[8][2]={
193 {0x00,0x00},
194 {0x00,0x00},
195 {0x00,0x00},
196 {0x00,0x00},
197 {0x00,0x00},
198 {0x00,0x00},
199 {0x00,0x00},
200 {0x00,0x00}
201 };
202                          /* CR47,CR48,CR49,CR4A,CR4B,CR4C,CR70,CR71,CR74,CR75,CR76,CR77 */
203 UCHAR XGI340_AGPReg[12]={0x28,0x23,0x00,0x20,0x00,0x20,0x00,0x05,0xd0,0x10,0x10,0x00};
204
205 UCHAR XGI340_SR16[4]={0x03,0x83,0x03,0x83};
206
207 UCHAR XGI330_SR15_1[8][8]={
208 {0x0,0x0,0x00,0x00,0x20,0x20,0x00,0x00},
209 {0x5,0x15,0x15,0x15,0x15,0x15,0x00,0x00},
210 {0xba,0xba,0xba,0xba,0xBA,0xBA,0x00,0x00},
211 {0x55,0x57,0x57,0xAB,0xAB,0xAB,0x00,0x00},
212 {0x60,0x34,0x34,0x34,0x34,0x34,0x00,0x00},
213 {0x0,0x80,0x80,0x80,0x83,0x83,0x00,0x00},
214 {0x50,0x50,0x50,0x3C,0x3C,0x3C,0x00,0x00},
215 {0x0,0xa5,0xfb,0xf6,0xF6,0xF6,0x00,0x00}
216 };
217
218 UCHAR XGI330_cr40_1[15][8]={
219 {0x66,0x40,0x40,0x28,0x24,0x24,0x00,0x00},
220 {0x66,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
221 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
222 {0x00,0x00,0x00,0x00,0x0F,0x0F,0x00,0x00},
223 {0x00,0xf0,0xf0,0xf0,0xF0,0xF0,0x00,0x00},
224 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
225 {0x10,0x10,0x10,0x10,0x20,0x20,0x00,0x00},
226 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
227 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
228 {0x88,0x88,0x88,0xAA,0xAC,0xAC,0x00,0x00},
229 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
230 {0x00,0x00,0x00,0x00,0x77,0x77,0x00,0x00},
231 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
232 {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
233 {0x00,0xA2,0x00,0x00,0xA2,0xA2,0x00,0x00},
234 };
235
236 UCHAR XGI330_sr25[]={0x00,0x0};
237 UCHAR XGI330_sr31=0xc0;
238 UCHAR XGI330_sr32=0x11;
239 UCHAR XGI330_SR33=0x00;
240 UCHAR XG40_CRCF=0x13;
241 UCHAR XG40_DRAMTypeDefinition=0xFF ;
242
243 XGI_StStruct XGI330_SModeIDTable[]=
244 {
245  {0x01,0x9208,0x01,0x00,0x10,0x00,0x00,0x01,0x00},
246  {0x01,0x1210,0x14,0x01,0x00,0x01,0x00,0x01,0x00},
247  {0x01,0x1010,0x17,0x02,0x11,0x00,0x00,0x01,0x01},
248  {0x03,0x8208,0x03,0x00,0x14,0x00,0x00,0x01,0x02},
249  {0x03,0x0210,0x16,0x01,0x04,0x01,0x00,0x01,0x02},
250  {0x03,0x0010,0x18,0x02,0x15,0x00,0x00,0x01,0x03},
251  {0x05,0x9209,0x05,0x00,0x10,0x00,0x00,0x00,0x04},
252  {0x06,0x8209,0x06,0x00,0x14,0x00,0x00,0x00,0x05},
253  {0x07,0x0000,0x07,0x03,0x05,0x03,0x00,0x01,0x03},
254  {0x07,0x0000,0x19,0x02,0x15,0x02,0x00,0x01,0x03},
255  {0x0d,0x920a,0x0d,0x00,0x10,0x00,0x00,0x00,0x04},
256  {0x0e,0x820a,0x0e,0x00,0x14,0x00,0x00,0x00,0x05},
257  {0x0f,0x0202,0x11,0x01,0x04,0x01,0x00,0x00,0x05},
258  {0x10,0x0212,0x12,0x01,0x04,0x01,0x00,0x00,0x05},
259  {0x11,0x0212,0x1a,0x04,0x24,0x04,0x00,0x00,0x05},
260  {0x12,0x0212,0x1b,0x04,0x24,0x04,0x00,0x00,0x05},
261  {0x13,0x021b,0x1c,0x00,0x14,0x00,0x00,0x00,0x04},
262  {0x12,0x0010,0x18,0x02,0x24,0x02,0x00,0x00,0x05},/* St_CRT2CRTC2 not sure */
263  {0x12,0x0210,0x18,0x01,0x24,0x01,0x00,0x00,0x05},/* St_CRT2CRTC2 not sure */
264  {0xff,0x0000,0x00,0x00,0x00,0x00,0x00,0x00,0x00}
265 };
266
267
268 XGI_ExtStruct  XGI330_EModeIDTable[]=
269 {
270  {0x6a,0x2212,0x0407,0x3a81,0x0102,0x08,0x07,0x00,0x00,0x07,0x0e},
271  {0x2e,0x0a1b,0x0306,0x3a57,0x0101,0x08,0x06,0x00,0x00,0x05,0x06},
272  {0x2f,0x0a1b,0x0305,0x3a50,0x0100,0x08,0x05,0x00,0x00,0x05,0x05},
273  {0x30,0x2a1b,0x0407,0x3a81,0x0103,0x08,0x07,0x00,0x00,0x07,0x0e},
274  {0x31,0x0a1b,0x030d,0x3b85,0x0000,0x08,0x0d,0x00,0x00,0x06,0x3d},
275  {0x32,0x0a1b,0x0a0e,0x3b8c,0x0000,0x08,0x0e,0x00,0x00,0x06,0x3e},
276  {0x33,0x0a1d,0x0a0d,0x3b85,0x0000,0x08,0x0d,0x00,0x00,0x06,0x3d},
277  {0x34,0x2a1d,0x0a0e,0x3b8c,0x0000,0x08,0x0e,0x00,0x00,0x06,0x3e},
278  {0x35,0x0a1f,0x0a0d,0x3b85,0x0000,0x08,0x0d,0x00,0x00,0x06,0x3d},
279  {0x36,0x2a1f,0x0a0e,0x3b8c,0x0000,0x08,0x0e,0x00,0x00,0x06,0x3e},
280  {0x37,0x0212,0x0508,0x3aab,0x0104,0x08,0x08,0x00,0x00,0x00,0x16},
281  {0x38,0x0a1b,0x0508,0x3aab,0x0105,0x08,0x08,0x00,0x00,0x00,0x16},
282  {0x3a,0x0e3b,0x0609,0x3adc,0x0107,0x08,0x09,0x00,0x00,0x00,0x1e},
283  {0x3c,0x0e3b,0x070a,0x3af2,0x0130,0x08,0x0a,0x00,0x00,0x00,0x22},      /* mode 1600x1200 add CRT2MODE [2003/10/07] */
284  {0x3d,0x0e7d,0x070a,0x3af2,0x0131,0x08,0x0a,0x00,0x00,0x00,0x22},      /* mode 1600x1200 add CRT2MODE */
285  {0x40,0x9a1c,0x0000,0x3a34,0x010d,0x08,0x00,0x00,0x00,0x04,0x00},
286  {0x41,0x9a1d,0x0000,0x3a34,0x010e,0x08,0x00,0x00,0x00,0x04,0x00},      /* ModeIdIndex = 0x10 */
287  {0x43,0x0a1c,0x0306,0x3a57,0x0110,0x08,0x06,0x00,0x00,0x05,0x06},
288  {0x44,0x0a1d,0x0306,0x3a57,0x0111,0x08,0x06,0x00,0x00,0x05,0x06},
289  {0x46,0x2a1c,0x0407,0x3a81,0x0113,0x08,0x07,0x00,0x00,0x07,0x0e},
290  {0x47,0x2a1d,0x0407,0x3a81,0x0114,0x08,0x07,0x00,0x00,0x07,0x0e},
291  {0x49,0x0a3c,0x0508,0x3aab,0x0116,0x08,0x08,0x00,0x00,0x00,0x16},
292  {0x4a,0x0a3d,0x0508,0x3aab,0x0117,0x08,0x08,0x00,0x00,0x00,0x16},
293  {0x4c,0x0e7c,0x0609,0x3adc,0x0119,0x08,0x09,0x00,0x00,0x00,0x1e},
294  {0x4d,0x0e7d,0x0609,0x3adc,0x011a,0x08,0x09,0x00,0x00,0x00,0x1e},
295  {0x50,0x9a1b,0x0001,0x3a3b,0x0132,0x08,0x01,0x00,0x00,0x04,0x02},
296  {0x51,0xba1b,0x0103,0x3a42,0x0133,0x08,0x03,0x00,0x00,0x07,0x03},
297  {0x52,0x9a1b,0x0204,0x3a49,0x0134,0x08,0x04,0x00,0x00,0x00,0x04},
298  {0x56,0x9a1d,0x0001,0x3a3b,0x0135,0x08,0x01,0x00,0x00,0x04,0x02},
299  {0x57,0xba1d,0x0103,0x3a42,0x0136,0x08,0x03,0x00,0x00,0x07,0x03},
300  {0x58,0x9a1d,0x0204,0x3a49,0x0137,0x08,0x04,0x00,0x00,0x00,0x04},
301  {0x59,0x9a1b,0x0000,0x3a34,0x0138,0x08,0x00,0x00,0x00,0x04,0x00},
302  {0x5A,0x021b,0x0014,0x3b83,0x0138,0x08,0x01,0x00,0x00,0x04,0x3f},      /* ModeIdIndex = 0x20 */
303  {0x5B,0x0a1d,0x0014,0x3b83,0x0135,0x08,0x01,0x00,0x00,0x04,0x3f},
304  {0x5d,0x0a1d,0x0305,0x3a50,0x0139,0x08,0x05,0x00,0x00,0x07,0x05},
305  {0x62,0x0a3f,0x0306,0x3a57,0x013a,0x08,0x06,0x00,0x00,0x05,0x06},
306  {0x63,0x2a3f,0x0407,0x3a81,0x013b,0x08,0x07,0x00,0x00,0x07,0x0e},
307  {0x64,0x0a7f,0x0508,0x3aab,0x013c,0x08,0x08,0x00,0x00,0x00,0x16},
308  {0x65,0x0eff,0x0609,0x3adc,0x013d,0x08,0x09,0x00,0x00,0x00,0x1e},
309  {0x66,0x0eff,0x070a,0x3af2,0x013e,0x08,0x0a,0x00,0x00,0x00,0x22},      /* mode 1600x1200 add CRT2MODE */
310  {0x68,0x067b,0x080b,0x3b17,0x013f,0x08,0x0b,0x00,0x00,0x00,0x29},
311  {0x69,0x06fd,0x080b,0x3b17,0x0140,0x08,0x0b,0x00,0x00,0x00,0x29},
312  {0x6b,0x07ff,0x080b,0x3b17,0x0141,0x10,0x0b,0x00,0x00,0x00,0x29},
313  {0x6c,0x067b,0x090c,0x3b37,0x0000,0x08,0x0c,0x00,0x00,0x00,0x2f},
314  {0x6d,0x06fd,0x090c,0x3b37,0x0000,0x10,0x0c,0x00,0x00,0x00,0x2f},
315  {0x6e,0x07ff,0x090c,0x3b37,0x0000,0x10,0x0c,0x00,0x00,0x00,0x2f},
316  {0x70,0x2a1b,0x0410,0x3b52,0x0000,0x08,0x10,0x00,0x00,0x07,0x34},
317  {0x71,0x0a1b,0x0511,0x3b63,0x0000,0x08,0x11,0x00,0x00,0x00,0x37},
318  {0x74,0x0a1d,0x0511,0x3b63,0x0000,0x08,0x11,0x00,0x00,0x00,0x37},      /* ModeIdIndex = 0x30 */
319  {0x75,0x0a3d,0x0612,0x3b74,0x0000,0x08,0x12,0x00,0x00,0x00,0x3a},
320  {0x76,0x2a1f,0x0410,0x3b52,0x0000,0x08,0x10,0x00,0x00,0x07,0x34},
321  {0x77,0x0a1f,0x0511,0x3b63,0x0000,0x08,0x11,0x00,0x00,0x00,0x37},
322  {0x78,0x0a3f,0x0612,0x3b74,0x0000,0x08,0x12,0x00,0x00,0x00,0x3a},
323  {0x79,0x0a3b,0x0612,0x3b74,0x0000,0x08,0x12,0x00,0x00,0x00,0x3a},
324  {0x7a,0x2a1d,0x0410,0x3b52,0x0000,0x08,0x10,0x00,0x00,0x07,0x34},
325  {0x7b,0x0e3b,0x060f,0x3ad0,0x0000,0x08,0x0f,0x00,0x00,0x00,0x1d},
326  {0x7c,0x0e7d,0x060f,0x3ad0,0x0000,0x08,0x0f,0x00,0x00,0x00,0x1d},
327  {0x7d,0x0eff,0x060f,0x3ad0,0x0000,0x08,0x0f,0x00,0x00,0x00,0x1d},
328  {0x20,0x0e3b,0x0D16,0x49e0,0x0000,0x08,0x16,0x00,0x00,0x00,0x43},
329  {0x21,0x0e7d,0x0D16,0x49e0,0x0000,0x08,0x16,0x00,0x00,0x00,0x43},
330  {0x22,0x0eff,0x0D16,0x49e0,0x0000,0x08,0x16,0x00,0x00,0x00,0x43},
331  {0x23,0x0e3b,0x0614,0x49d5,0x0000,0x08,0x14,0x00,0x00,0x00,0x41},
332  {0x24,0x0e7d,0x0614,0x49d5,0x0000,0x08,0x14,0x00,0x00,0x00,0x41},
333  {0x25,0x0eff,0x0614,0x49d5,0x0000,0x08,0x14,0x00,0x00,0x00,0x41},
334  {0x26,0x063b,0x0c15,0x49dc,0x0000,0x08,0x15,0x00,0x00,0x00,0x42},      /* ModeIdIndex = 0x40 */
335  {0x27,0x067d,0x0c15,0x49dc,0x0000,0x08,0x15,0x00,0x00,0x00,0x42},
336  {0x28,0x06ff,0x0c15,0x49dc,0x0000,0x08,0x15,0x00,0x00,0x00,0x42},
337  {0xff,0x0000,0x0000,0x0000,0x0000,0x00,0x00,0x00,0x00,0x00,0x00}
338 };
339
340 XGI_StandTableStruct XGI330_StandTable[]=
341 {
342 /* MD_0_200 */
343  {
344   0x28,0x18,0x08,0x0800,
345   {0x09,0x03,0x00,0x02},
346   0x63,
347   {0x2d,0x27,0x28,0x90,0x2b,0xa0,0xbf,0x1f,
348    0x00,0xc7,0x06,0x07,0x00,0x00,0x00,0x00,
349    0x9c,0x8e,0x8f,0x14,0x1f,0x96,0xb9,0xa3,
350    0xff},
351   {0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,
352    0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,
353    0x08,0x00,0x0f,0x00},
354   {0x00,0x00,0x00,0x00,0x00,0x10,0x0e,0x00,
355    0xff}
356  },
357 /* MD_1_200 */
358  {
359   0x28,0x18,0x08,0x0800,
360   {0x09,0x03,0x00,0x02},
361   0x63,
362   {0x2d,0x27,0x28,0x90,0x2b,0xa0,0xbf,0x1f,
363    0x00,0xc7,0x06,0x07,0x00,0x00,0x00,0x00,
364    0x9c,0x8e,0x8f,0x14,0x1f,0x96,0xb9,0xa3,
365    0xff},
366   {0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,
367    0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,
368    0x08,0x00,0x0f,0x00},
369   {0x00,0x00,0x00,0x00,0x00,0x10,0x0e,0x00,
370    0xff}
371  },
372 /* MD_2_200 */
373  {
374   0x50,0x18,0x08,0x1000,
375   {0x01,0x03,0x00,0x02},
376   0x63,
377   {0x5f,0x4f,0x50,0x82,0x55,0x81,0xbf,0x1f,
378    0x00,0xc7,0x06,0x07,0x00,0x00,0x00,0x00,
379    0x9c,0x8e,0x8f,0x28,0x1f,0x96,0xb9,0xa3,
380    0xff},
381   {0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,
382    0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,
383    0x08,0x00,0x0f,0x00},
384   {0x00,0x00,0x00,0x00,0x00,0x10,0x0e,0x00,
385    0xff}
386  },
387 /* MD_3_200 */
388  {
389   0x50,0x18,0x08,0x1000,
390   {0x01,0x03,0x00,0x02},
391   0x63,
392   {0x5f,0x4f,0x50,0x82,0x55,0x81,0xbf,0x1f,
393    0x00,0xc7,0x06,0x07,0x00,0x00,0x00,0x00,
394    0x9c,0x8e,0x8f,0x28,0x1f,0x96,0xb9,0xa3,
395    0xff},
396   {0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,
397    0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,
398    0x08,0x00,0x0f,0x00},
399   {0x00,0x00,0x00,0x00,0x00,0x10,0x0e,0x00,
400    0xff}
401  },
402 /* MD_4 */
403  {
404   0x28,0x18,0x08,0x4000,
405   {0x09,0x03,0x00,0x02},
406   0x63,
407   {0x2d,0x27,0x28,0x90,0x2c,0x80,0xbf,0x1f,
408    0x00,0xc1,0x00,0x00,0x00,0x00,0x00,0x00,
409    0x9c,0x8e,0x8f,0x14,0x00,0x96,0xb9,0xa2,
410    0xff},
411   {0x00,0x13,0x15,0x17,0x02,0x04,0x06,0x07,
412    0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,
413    0x01,0x00,0x03,0x00},
414   {0x00,0x00,0x00,0x00,0x00,0x30,0x0f,0x00,
415    0xff}
416  },
417 /* MD_5 */
418  {
419   0x28,0x18,0x08,0x4000,
420   {0x09,0x03,0x00,0x02},
421   0x63,
422   {0x2d,0x27,0x28,0x90,0x2c,0x80,0xbf,0x1f,
423    0x00,0xc1,0x00,0x00,0x00,0x00,0x00,0x00,
424    0x9c,0x8e,0x8f,0x14,0x00,0x96,0xb9,0xa2,
425    0xff},
426   {0x00,0x13,0x15,0x17,0x02,0x04,0x06,0x07,
427    0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,
428    0x01,0x00,0x03,0x00},
429   {0x00,0x00,0x00,0x00,0x00,0x30,0x0f,0x00,
430    0xff}
431  },
432 /* MD_6 */
433  {
434   0x50,0x18,0x08,0x4000,
435   {0x01,0x01,0x00,0x06},
436   0x63,
437   {0x5f,0x4f,0x50,0x82,0x55,0x81,0xbf,0x1f,
438    0x00,0xc1,0x00,0x00,0x00,0x00,0x00,0x00,
439    0x9c,0x8e,0x8f,0x28,0x00,0x96,0xb9,0xc2,
440    0xff},
441   {0x00,0x17,0x17,0x17,0x17,0x17,0x17,0x17,
442    0x17,0x17,0x17,0x17,0x17,0x17,0x17,0x17,
443    0x01,0x00,0x01,0x00},
444   {0x00,0x00,0x00,0x00,0x00,0x00,0x0d,0x00,
445    0xff}
446  },
447 /* MD_7 */
448  {
449   0x50,0x18,0x0e,0x1000,
450   {0x00,0x03,0x00,0x03},
451   0xa6,
452   {0x5f,0x4f,0x50,0x82,0x55,0x81,0xbf,0x1f,
453    0x00,0x4d,0x0b,0x0c,0x00,0x00,0x00,0x00,
454    0x83,0x85,0x5d,0x28,0x0d,0x63,0xba,0xa3,
455    0xff},
456   {0x00,0x08,0x08,0x08,0x08,0x08,0x08,0x08,
457    0x10,0x18,0x18,0x18,0x18,0x18,0x18,0x18,
458    0x0e,0x00,0x0f,0x08},
459   {0x00,0x00,0x00,0x00,0x00,0x10,0x0a,0x00,
460    0xff}
461  },
462 /* MDA_DAC */
463  {
464   0x00,0x00,0x00,0x0000,
465   {0x00,0x00,0x00,0x15},
466   0x15,
467   {0x15,0x15,0x15,0x15,0x15,0x15,0x15,0x15,
468    0x15,0x15,0x15,0x15,0x15,0x15,0x3f,0x3f,
469    0x3f,0x3f,0x3f,0x3f,0x3f,0x3f,0x00,0x00,
470    0x00},
471   {0x00,0x00,0x00,0x00,0x00,0x15,0x15,0x15,
472    0x15,0x15,0x15,0x15,0x15,0x15,0x15,0x15,
473    0x15,0x15,0x15,0x15},
474   {0x15,0x3f,0x3f,0x3f,0x3f,0x3f,0x3f,0x3f,
475    0x3f}
476  },
477 /* CGA_DAC */
478  {
479   0x00,0x10,0x04,0x0114,
480   {0x11,0x09,0x15,0x00},
481   0x10,
482   {0x04,0x14,0x01,0x11,0x09,0x15,0x2a,0x3a,
483    0x2e,0x3e,0x2b,0x3b,0x2f,0x3f,0x2a,0x3a,
484    0x2e,0x3e,0x2b,0x3b,0x2f,0x3f,0x00,0x10,
485    0x04},
486   {0x14,0x01,0x11,0x09,0x15,0x00,0x10,0x04,
487    0x14,0x01,0x11,0x09,0x15,0x2a,0x3a,0x2e,
488    0x3e,0x2b,0x3b,0x2f},
489   {0x3f,0x2a,0x3a,0x2e,0x3e,0x2b,0x3b,0x2f,
490    0x3f}
491  },
492 /* EGA_DAC */
493  {
494   0x00,0x10,0x04,0x0114,
495   {0x11,0x05,0x15,0x20},
496   0x30,
497   {0x24,0x34,0x21,0x31,0x25,0x35,0x08,0x18,
498    0x0c,0x1c,0x09,0x19,0x0d,0x1d,0x28,0x38,
499    0x2c,0x3c,0x29,0x39,0x2d,0x3d,0x02,0x12,
500    0x06},
501   {0x16,0x03,0x13,0x07,0x17,0x22,0x32,0x26,
502    0x36,0x23,0x33,0x27,0x37,0x0a,0x1a,0x0e,
503    0x1e,0x0b,0x1b,0x0f},
504   {0x1f,0x2a,0x3a,0x2e,0x3e,0x2b,0x3b,0x2f,
505    0x3f}
506  },
507 /* VGA_DAC */
508  {
509   0x00,0x10,0x04,0x0114,
510   {0x11,0x09,0x15,0x2a},
511   0x3a,
512   {0x2e,0x3e,0x2b,0x3b,0x2f,0x3f,0x00,0x05,
513    0x08,0x0b,0x0e,0x11,0x14,0x18,0x1c,0x20,
514    0x24,0x28,0x2d,0x32,0x38,0x3f,0x00,0x10,
515    0x1f},
516   {0x2f,0x3f,0x1f,0x27,0x2f,0x37,0x3f,0x2d,
517    0x31,0x36,0x3a,0x3f,0x00,0x07,0x0e,0x15,
518    0x1c,0x0e,0x11,0x15},
519   {0x18,0x1c,0x14,0x16,0x18,0x1a,0x1c,0x00,
520    0x04}
521  },
522  {
523   0x08,0x0c,0x10,0x0a08,
524   {0x0c,0x0e,0x10,0x0b},
525   0x0c,
526   {0x0d,0x0f,0x10,0x10,0x01,0x08,0x00,0x00,
527    0x00,0x00,0x01,0x00,0x02,0x02,0x01,0x00,
528    0x04,0x04,0x01,0x00,0x05,0x02,0x05,0x00,
529    0x06},
530   {0x01,0x06,0x05,0x06,0x00,0x08,0x01,0x08,
531    0x00,0x07,0x02,0x07,0x06,0x07,0x00,0x00,
532    0x00,0x00,0x00,0x00},
533   {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
534    0x00}
535  },
536 /* MD_D */
537  {
538   0x28,0x18,0x08,0x2000,
539   {0x09,0x0f,0x00,0x06},
540   0x63,
541   {0x2d,0x27,0x28,0x90,0x2c,0x80,0xbf,0x1f,
542    0x00,0xc0,0x00,0x00,0x00,0x00,0x00,0x00,
543    0x9c,0x8e,0x8f,0x14,0x00,0x96,0xb9,0xe3,
544    0xff},
545   {0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,
546    0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,
547    0x01,0x00,0x0f,0x00},
548   {0x00,0x00,0x00,0x00,0x00,0x00,0x05,0x0f,
549    0xff}
550  },
551 /* MD_E */
552  {
553   0x50,0x18,0x08,0x4000,
554   {0x01,0x0f,0x00,0x06},
555   0x63,
556   {0x5f,0x4f,0x50,0x82,0x55,0x81,0xbf,0x1f,
557    0x00,0xc0,0x00,0x00,0x00,0x00,0x00,0x00,
558    0x9c,0x8e,0x8f,0x28,0x00,0x96,0xb9,0xe3,
559    0xff},
560   {0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,
561    0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,
562    0x01,0x00,0x0f,0x00},
563   {0x00,0x00,0x00,0x00,0x00,0x00,0x05,0x0f,
564    0xff}
565  },
566 /* ExtVGATable */
567  {
568   0x00,0x00,0x00,0x0000,
569   {0x01,0x0f,0x00,0x0e},
570   0x23,
571   {0x5f,0x4f,0x50,0x82,0x54,0x80,0x0b,0x3e,
572    0x00,0x40,0x00,0x00,0x00,0x00,0x00,0x00,
573    0xea,0x8c,0xdf,0x28,0x40,0xe7,0x04,0xa3,
574    0xff},
575   {0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,
576    0x08,0x09,0x0a,0x0b,0x0c,0x0d,0x0e,0x0f,
577    0x01,0x00,0x00,0x00},
578   {0x00,0x00,0x00,0x00,0x00,0x40,0x05,0x0f,
579    0xff}
580  },
581 /* ROM_SAVEPTR */
582  {
583   0x9f,0x3b,0x00,0x00c0,
584   {0x00,0x00,0x00,0x00},
585   0x00,
586   {0x00,0x00,0x00,0x00,0x00,0x00,0xbb,0x3f,
587    0x00,0xc0,0x00,0x00,0x00,0x00,0x00,0x00,
588    0x00,0x00,0x1a,0x00,0xac,0x3e,0x00,0xc0,
589    0x00},
590   {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
591    0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
592    0x00,0x00,0x00,0x00},
593   {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
594    0x00}
595  },
596 /* MD_F */
597  {
598   0x50,0x18,0x0e,0x8000,
599   {0x01,0x0f,0x00,0x06},
600   0xa2,
601   {0x5f,0x4f,0x50,0x82,0x55,0x81,0xbf,0x1f,
602    0x00,0x40,0x00,0x00,0x00,0x00,0x00,0x00,
603    0x82,0x84,0x5d,0x28,0x0f,0x63,0xba,0xe3,
604    0xff},
605   {0x00,0x08,0x00,0x00,0x18,0x18,0x00,0x00,
606    0x00,0x08,0x00,0x00,0x00,0x18,0x00,0x00,
607    0x0b,0x00,0x05,0x00},
608   {0x00,0x00,0x00,0x00,0x00,0x00,0x05,0x05,
609    0xff}
610  },
611 /* MD_10 */
612  {
613   0x50,0x18,0x0e,0x8000,
614   {0x01,0x0f,0x00,0x06},
615   0xa3,
616   {0x5f,0x4f,0x50,0x82,0x55,0x81,0xbf,0x1f,
617    0x00,0x40,0x00,0x00,0x00,0x00,0x00,0x00,
618    0x82,0x84,0x5d,0x28,0x0f,0x63,0xba,0xe3,
619    0xff},
620   {0x00,0x01,0x02,0x03,0x04,0x05,0x14,0x07,
621    0x38,0x39,0x3a,0x3b,0x3c,0x3d,0x3e,0x3f,
622    0x01,0x00,0x0f,0x00},
623   {0x00,0x00,0x00,0x00,0x00,0x00,0x05,0x0f,
624    0xff}
625  },
626 /* MD_0_350 */
627  {
628   0x28,0x18,0x0e,0x0800,
629   {0x09,0x03,0x00,0x02},
630   0xa3,
631   {0x2d,0x27,0x28,0x90,0x2b,0xb1,0xbf,0x1f,
632    0x00,0x4d,0x0b,0x0c,0x00,0x00,0x00,0x00,
633    0x83,0x85,0x5d,0x14,0x1f,0x63,0xba,0xa3,
634    0xff},
635   {0x00,0x01,0x02,0x03,0x04,0x05,0x14,0x07,
636    0x38,0x39,0x3a,0x3b,0x3c,0x3d,0x3e,0x3f,
637    0x08,0x00,0x0f,0x00},
638   {0x00,0x00,0x00,0x00,0x00,0x10,0x0e,0x00,
639    0xff}
640  },
641 /* MD_1_350 */
642  {
643   0x28,0x18,0x0e,0x0800,
644   {0x09,0x03,0x00,0x02},
645   0xa3,
646   {0x2d,0x27,0x28,0x90,0x2b,0xa0,0xbf,0x1f,
647    0x00,0x4d,0x0b,0x0c,0x00,0x00,0x00,0x00,
648    0x83,0x85,0x5d,0x14,0x1f,0x63,0xba,0xa3,
649    0xff},
650   {0x00,0x01,0x02,0x03,0x04,0x05,0x14,0x07,
651    0x38,0x39,0x3a,0x3b,0x3c,0x3d,0x3e,0x3f,
652    0x08,0x00,0x0f,0x00},
653   {0x00,0x00,0x00,0x00,0x00,0x10,0x0e,0x00,
654    0xff}
655  },
656 /* MD_2_350 */
657  {
658   0x50,0x18,0x0e,0x1000,
659   {0x01,0x03,0x00,0x02},
660   0xa3,
661   {0x5f,0x4f,0x50,0x82,0x55,0x81,0xbf,0x1f,
662    0x00,0x4d,0x0b,0x0c,0x00,0x00,0x00,0x00,
663    0x83,0x85,0x5d,0x28,0x1f,0x63,0xba,0xa3,
664    0xff},
665   {0x00,0x01,0x02,0x03,0x04,0x05,0x14,0x07,
666    0x38,0x39,0x3a,0x3b,0x3c,0x3d,0x3e,0x3f,
667    0x08,0x00,0x0f,0x00},
668   {0x00,0x00,0x00,0x00,0x00,0x10,0x0e,0x00,
669    0xff}
670  },
671 /* MD_3_350 */
672  {
673   0x50,0x18,0x0e,0x1000,
674   {0x01,0x03,0x00,0x02},
675   0xa3,
676   {0x5f,0x4f,0x50,0x82,0x55,0x81,0xbf,0x1f,
677    0x00,0x4d,0x0b,0x0c,0x00,0x00,0x00,0x00,
678    0x83,0x85,0x5d,0x28,0x1f,0x63,0xba,0xa3,
679    0xff},
680   {0x00,0x01,0x02,0x03,0x04,0x05,0x14,0x07,
681    0x38,0x39,0x3a,0x3b,0x3c,0x3d,0x3e,0x3f,
682    0x08,0x00,0x0f,0x00},
683   {0x00,0x00,0x00,0x00,0x00,0x10,0x0e,0x00,
684    0xff}
685  },
686 /* MD_0_1_400 */
687  {
688   0x28,0x18,0x10,0x0800,
689   {0x08,0x03,0x00,0x02},
690   0x67,
691   {0x2d,0x27,0x28,0x90,0x2b,0xb1,0xbf,0x1f,
692    0x00,0x4f,0x0d,0x0e,0x00,0x00,0x00,0x00,
693    0x9c,0x8e,0x8f,0x14,0x1f,0x96,0xb9,0xa3,
694    0xff},
695   {0x00,0x01,0x02,0x03,0x04,0x05,0x14,0x07,
696    0x38,0x39,0x3a,0x3b,0x3c,0x3d,0x3e,0x3f,
697    0x0c,0x00,0x0f,0x08},
698   {0x00,0x00,0x00,0x00,0x00,0x10,0x0e,0x00,
699    0xff}
700  },
701 /* MD_2_3_400 */
702  {
703   0x50,0x18,0x10,0x1000,
704   {0x00,0x03,0x00,0x02},
705   0x67,
706   {0x5f,0x4f,0x50,0x82,0x55,0x81,0xbf,0x1f,
707    0x00,0x4f,0x0d,0x0e,0x00,0x00,0x00,0x00,
708    0x9c,0x8e,0x8f,0x28,0x1f,0x96,0xb9,0xa3,
709    0xff},
710   {0x00,0x01,0x02,0x03,0x04,0x05,0x14,0x07,
711    0x38,0x39,0x3a,0x3b,0x3c,0x3d,0x3e,0x3f,
712    0x0c,0x00,0x0f,0x08},
713   {0x00,0x00,0x00,0x00,0x00,0x10,0x0e,0x00,
714    0xff}
715  },
716 /* MD_7_400 */
717  {
718   0x50,0x18,0x10,0x1000,
719   {0x00,0x03,0x00,0x02},
720   0x66,
721   {0x5f,0x4f,0x50,0x82,0x55,0x81,0xbf,0x1f,
722    0x00,0x4f,0x0d,0x0e,0x00,0x00,0x00,0x00,
723    0x9c,0x8e,0x8f,0x28,0x0f,0x96,0xb9,0xa3,
724    0xff},
725   {0x00,0x08,0x08,0x08,0x08,0x08,0x08,0x08,
726    0x10,0x18,0x18,0x18,0x18,0x18,0x18,0x18,
727    0x0e,0x00,0x0f,0x08},
728   {0x00,0x00,0x00,0x00,0x00,0x10,0x0a,0x00,
729    0xff}
730  },
731 /* MD_11 */
732  {
733   0x50,0x1d,0x10,0xa000,
734   {0x01,0x0f,0x00,0x06},
735   0xe3,
736   {0x5f,0x4f,0x50,0x82,0x55,0x81,0x0b,0x3e,
737    0x00,0x40,0x00,0x00,0x00,0x00,0x00,0x00,
738    0xe9,0x8b,0xdf,0x28,0x00,0xe7,0x04,0xc3,
739    0xff},
740   {0x00,0x3f,0x3f,0x3f,0x3f,0x3f,0x3f,0x3f,
741    0x3f,0x3f,0x3f,0x3f,0x3f,0x3f,0x3f,0x3f,
742    0x01,0x00,0x0f,0x00},
743   {0x00,0x00,0x00,0x00,0x00,0x00,0x05,0x01,
744    0xff}
745  },
746 /* ExtEGATable */
747  {
748   0x50,0x1d,0x10,0xa000,
749   {0x01,0x0f,0x00,0x06},
750   0xe3,
751   {0x5f,0x4f,0x50,0x82,0x55,0x81,0x0b,0x3e,
752    0x00,0x40,0x00,0x00,0x00,0x00,0x00,0x00,
753    0xe9,0x8b,0xdf,0x28,0x00,0xe7,0x04,0xe3,
754    0xff},
755   {0x00,0x01,0x02,0x03,0x04,0x05,0x14,0x07,
756    0x38,0x39,0x3a,0x3b,0x3c,0x3d,0x3e,0x3f,
757    0x01,0x00,0x0f,0x00},
758   {0x00,0x00,0x00,0x00,0x00,0x00,0x05,0x0f,
759    0xff}
760  },
761 /* MD_13 */
762  {
763   0x28,0x18,0x08,0x2000,
764   {0x01,0x0f,0x00,0x0e},
765   0x63,
766   {0x5f,0x4f,0x50,0x82,0x55,0x81,0xbf,0x1f,
767    0x00,0x41,0x00,0x00,0x00,0x00,0x00,0x00,
768    0x9c,0x8e,0x8f,0x28,0x40,0x96,0xb9,0xa3,
769    0xff},
770   {0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,
771    0x08,0x09,0x0a,0x0b,0x0c,0x0d,0x0e,0x0f,
772    0x41,0x00,0x0f,0x00},
773   {0x00,0x00,0x00,0x00,0x00,0x40,0x05,0x0f,
774    0xff}
775  }
776 };
777
778 XGI_TimingHStruct XGI_TimingH[]=
779 {{{0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00}}};
780
781 XGI_TimingVStruct XGI_TimingV[]=
782 {{{0x00,0x00,0x00,0x00,0x00,0x00,0x00}}};
783
784 XGI_XG21CRT1Struct XGI_UpdateCRT1Table[]=
785 {
786  {0x01,0x27,0x91,0x8f,0xc0},    /* 00 */
787  {0x03,0x4f,0x83,0x8f,0xc0},    /* 01 */
788  {0x05,0x27,0x91,0x8f,0xc0},    /* 02 */
789  {0x06,0x4f,0x83,0x8f,0xc0},    /* 03 */
790  {0x07,0x4f,0x83,0x8f,0xc0},    /* 04 */
791  {0x0d,0x27,0x91,0x8f,0xc0},    /* 05 */
792  {0x0e,0x4f,0x83,0x8f,0xc0},    /* 06 */
793  {0x0f,0x4f,0x83,0x5d,0xc0},    /* 07 */
794  {0x10,0x4f,0x83,0x5d,0xc0},    /* 08 */
795  {0x11,0x4f,0x83,0xdf,0x0c},    /* 09 */
796  {0x12,0x4f,0x83,0xdf,0x0c},    /* 10 */
797  {0x13,0x4f,0x83,0x8f,0xc0},    /* 11 */
798  {0x2e,0x4f,0x83,0xdf,0x0c},    /* 12 */
799  {0x2e,0x4f,0x87,0xdf,0xc0},    /* 13 */
800  {0x2f,0x4f,0x83,0x8f,0xc0},    /* 14 */
801  {0x50,0x27,0x91,0xdf,0x0c},    /* 15 */
802  {0x59,0x27,0x91,0x8f,0xc0}     /* 16 */
803 };
804
805 XGI_CRT1TableStruct XGI_CRT1Table[]=
806 {
807  {{0x2d,0x28,0x90,0x2c,0x90,0x00,0x04,0x00,
808     0xbf,0x1f,0x9c,0x8e,0x96,0xb9,0x30}}, /* 0x0 */
809  {{0x2d,0x28,0x90,0x2c,0x90,0x00,0x04,0x00,
810     0x0b,0x3e,0xe9,0x8b,0xe7,0x04,0x00}}, /* 0x1 */
811  {{0x3D,0x31,0x81,0x37,0x1F,0x00,0x05,0x00,
812     0x72,0xF0,0x58,0x8C,0x57,0x73,0xA0}}, /* 0x2 */
813  {{0x4F,0x3F,0x93,0x45,0x0D,0x00,0x01,0x00,
814     0x24,0xF5,0x02,0x88,0xFF,0x25,0x90}}, /* 0x3 */
815  {{0x5F,0x50,0x82,0x55,0x81,0x00,0x05,0x00,
816     0xBF,0x1F,0x9C,0x8E,0x96,0xB9,0x30}}, /* 0x4 */
817  {{0x5F,0x50,0x82,0x55,0x81,0x00,0x05,0x00,
818     0x0B,0x3E,0xE9,0x8B,0xE7,0x04,0x00}}, /* 0x5 */
819  {{0x63,0x50,0x86,0x56,0x9B,0x00,0x01,0x00,
820     0x06,0x3E,0xE8,0x8B,0xE7,0xFF,0x10}}, /* 0x6 */
821  {{0x64,0x4F,0x88,0x55,0x9D,0x00,0x01,0x00,
822     0xF2,0x1F,0xE0,0x83,0xDF,0xF3,0x10}}, /* 0x7 */
823  {{0x63,0x4F,0x87,0x5A,0x81,0x00,0x05,0x00,
824     0xFB,0x1F,0xE0,0x83,0xDF,0xFC,0x10}}, /* 0x8 */
825  {{0x65,0x4F,0x89,0x58,0x80,0x00,0x05,0x60,
826     0xFB,0x1F,0xE0,0x83,0xDF,0xFC,0x80}}, /* 0x9 */
827  {{0x65,0x4F,0x89,0x58,0x80,0x00,0x05,0x60,
828     0x01,0x3E,0xE0,0x83,0xDF,0x02,0x80}}, /* 0xa */
829  {{0x67,0x4F,0x8B,0x58,0x81,0x00,0x05,0x60,
830     0x0D,0x3E,0xE0,0x83,0xDF,0x0E,0x90}}, /* 0xb */
831  {{0x65,0x4F,0x89,0x57,0x9F,0x00,0x01,0x00,
832    0xFB,0x1F,0xE6,0x8A,0xDF,0xFC,0x10}}, /* 0xc */
833  {{0x7B,0x63,0x9F,0x6A,0x93,0x00,0x05,0x00,    /* ; 0D (800x600,56Hz) */
834     0x6F,0xF0,0x58,0x8A,0x57,0x70,0xA0}},         /* ; (VCLK 36.0MHz) */
835  {{0x7F,0x63,0x83,0x6C,0x1C,0x00,0x06,0x00,    /* ; 0E (800x600,60Hz) */
836     0x72,0xF0,0x58,0x8C,0x57,0x73,0xA0}},         /* ; (VCLK 40.0MHz) */
837  {{0x7D,0x63,0x81,0x6E,0x1D,0x00,0x06,0x00,    /* ; 0F (800x600,72Hz) */
838     0x98,0xF0,0x7C,0x82,0x57,0x99,0x80}},         /* ; (VCLK 50.0MHz) */
839  {{0x7F,0x63,0x83,0x69,0x13,0x00,0x06,0x00,    /* ; 10 (800x600,75Hz) */
840     0x6F,0xF0,0x58,0x8B,0x57,0x70,0xA0}},         /* ; (VCLK 49.5MHz) */
841  {{0x7E,0x63,0x82,0x6B,0x13,0x00,0x06,0x00,    /* ; 11 (800x600,85Hz) */
842     0x75,0xF0,0x58,0x8B,0x57,0x76,0xA0}},         /* ; (VCLK 56.25MHz) */
843  {{0x81,0x63,0x85,0x6D,0x18,0x00,0x06,0x60,    /* ; 12 (800x600,100Hz) */
844     0x7A,0xF0,0x58,0x8B,0x57,0x7B,0xA0}},         /* ; (VCLK 75.8MHz) */
845  {{0x83,0x63,0x87,0x6E,0x19,0x00,0x06,0x60,    /* ; 13 (800x600,120Hz) */
846     0x81,0xF0,0x58,0x8B,0x57,0x82,0xA0}},         /* ; (VCLK 79.411MHz) */
847  {{0x85,0x63,0x89,0x6F,0x1A,0x00,0x06,0x60,    /* ; 14 (800x600,160Hz) */
848    0x91,0xF0,0x58,0x8B,0x57,0x92,0xA0}},         /* ; (VCLK 105.822MHz) */
849  {{0x99,0x7F,0x9D,0x84,0x1A,0x00,0x02,0x00,
850     0x96,0x1F,0x7F,0x83,0x7F,0x97,0x10}}, /* 0x15 */
851  {{0xA3,0x7F,0x87,0x86,0x97,0x00,0x02,0x00,
852     0x24,0xF5,0x02,0x88,0xFF,0x25,0x90}}, /* 0x16 */
853  {{0xA1,0x7F,0x85,0x86,0x97,0x00,0x02,0x00,
854     0x24,0xF5,0x02,0x88,0xFF,0x25,0x90}}, /* 0x17 */
855  {{0x9F,0x7F,0x83,0x85,0x91,0x00,0x02,0x00,
856     0x1E,0xF5,0x00,0x83,0xFF,0x1F,0x90}}, /* 0x18 */
857  {{0xA7,0x7F,0x8B,0x89,0x95,0x00,0x02,0x00,
858     0x26,0xF5,0x00,0x83,0xFF,0x27,0x90}}, /* 0x19 */
859  {{0xA9,0x7F,0x8D,0x8C,0x9A,0x00,0x02,0x62,
860     0x2C,0xF5,0x00,0x83,0xFF,0x2D,0x14}}, /* 0x1a */
861  {{0xAB,0x7F,0x8F,0x8D,0x9B,0x00,0x02,0x62,
862     0x35,0xF5,0x00,0x83,0xFF,0x36,0x14}}, /* 0x1b */
863  {{0xCF,0x9F,0x93,0xB2,0x01,0x00,0x03,0x00,
864     0x14,0xBA,0x00,0x83,0xFF,0x15,0x00}}, /* 0x1c */
865  {{0xCE,0x9F,0x92,0xA9,0x17,0x00,0x07,0x00,
866     0x28,0x5A,0x00,0x83,0xFF,0x29,0x89}}, /* 0x1d */
867  {{0xCE,0x9F,0x92,0xA5,0x17,0x00,0x07,0x00,
868     0x28,0x5A,0x00,0x83,0xFF,0x29,0x89}}, /* 0x1e */
869  {{0xD3,0x9F,0x97,0xAB,0x1F,0x00,0x07,0x00,
870     0x2E,0x5A,0x00,0x83,0xFF,0x2F,0x89}}, /* 0x1f */
871  {{0x09,0xC7,0x8D,0xD3,0x0B,0x01,0x04,0x00,
872     0xE0,0x10,0xB0,0x83,0xAF,0xE1,0x2F}}, /* 0x20 */
873  {{0x09,0xC7,0x8D,0xD3,0x0B,0x01,0x04,0x00,
874     0xE0,0x10,0xB0,0x83,0xAF,0xE1,0x2F}}, /* 0x21 */
875  {{0x09,0xC7,0x8D,0xD3,0x0B,0x01,0x04,0x00,
876     0xE0,0x10,0xB0,0x83,0xAF,0xE1,0x2F}}, /* 0x22 */
877  {{0x09,0xC7,0x8D,0xD3,0x0B,0x01,0x04,0x00,
878     0xE0,0x10,0xB0,0x83,0xAF,0xE1,0x2F}}, /* 0x23 */
879  {{0x09,0xC7,0x8D,0xD3,0x0B,0x01,0x04,0x00,
880     0xE0,0x10,0xB0,0x83,0xAF,0xE1,0x2F}}, /* 0x24 */
881  {{0x09,0xC7,0x8D,0xD3,0x0B,0x01,0x04,0x00,
882     0xE0,0x10,0xB0,0x83,0xAF,0xE1,0x2F}}, /* 0x25 */
883  {{0x09,0xC7,0x8D,0xD3,0x0B,0x01,0x04,0x00,
884     0xE0,0x10,0xB0,0x83,0xAF,0xE1,0x2F}}, /* 0x26 */
885  {{0x40,0xEF,0x84,0x03,0x1D,0x41,0x01,0x00,
886     0xDA,0x1F,0xA0,0x83,0x9F,0xDB,0x1F}}, /* 0x27 */
887  {{0x43,0xEF,0x87,0x06,0x00,0x41,0x05,0x62,
888     0xD4,0x1F,0xA0,0x83,0x9F,0xD5,0x9F}}, /* 0x28 */
889  {{0x45,0xEF,0x89,0x07,0x01,0x41,0x05,0x62,
890     0xD9,0x1F,0xA0,0x83,0x9F,0xDA,0x9F}}, /* 0x29 */
891  {{0x40,0xEF,0x84,0x03,0x1D,0x41,0x01,0x00,
892     0xDA,0x1F,0xA0,0x83,0x9F,0xDB,0x1F}}, /* 0x2a */
893  {{0x40,0xEF,0x84,0x03,0x1D,0x41,0x01,0x00,
894     0xDA,0x1F,0xA0,0x83,0x9F,0xDB,0x1F}}, /* 0x2b */
895  {{0x40,0xEF,0x84,0x03,0x1D,0x41,0x01,0x00,
896     0xDA,0x1F,0xA0,0x83,0x9F,0xDB,0x1F}}, /* 0x2c */
897  {{0x59,0xFF,0x9D,0x17,0x13,0x41,0x05,0x44,
898     0x33,0xBA,0x00,0x83,0xFF,0x34,0x0F}}, /* 0x2d */
899  {{0x5B,0xFF,0x9F,0x18,0x14,0x41,0x05,0x44,
900     0x38,0xBA,0x00,0x83,0xFF,0x39,0x0F}}, /* 0x2e */
901  {{0x5B,0xFF,0x9F,0x18,0x14,0x41,0x05,0x44,
902     0x3D,0xBA,0x00,0x83,0xFF,0x3E,0x0F}}, /* 0x2f */
903  {{0x5D,0xFF,0x81,0x19,0x95,0x41,0x05,0x44,
904     0x41,0xBA,0x00,0x84,0xFF,0x42,0x0F}}, /* 0x30 */
905  {{0x55,0xFF,0x99,0x0D,0x0C,0x41,0x05,0x00,
906     0x3E,0xBA,0x00,0x84,0xFF,0x3F,0x0F}}, /* 0x31 */
907  {{0x7F,0x63,0x83,0x6C,0x1C,0x00,0x06,0x00,
908     0x72,0xBA,0x27,0x8B,0xDF,0x73,0x80}}, /* 0x32 */
909  {{0x7F,0x63,0x83,0x69,0x13,0x00,0x06,0x00,
910     0x6F,0xBA,0x26,0x89,0xDF,0x6F,0x80}}, /* 0x33 */
911  {{0x7F,0x63,0x82,0x6B,0x13,0x00,0x06,0x00,
912     0x75,0xBA,0x29,0x8C,0xDF,0x75,0x80}}, /* 0x34 */
913  {{0xA3,0x7F,0x87,0x86,0x97,0x00,0x02,0x00,
914     0x24,0xF1,0xAF,0x85,0x3F,0x25,0xB0}}, /* 0x35 */
915  {{0x9F,0x7F,0x83,0x85,0x91,0x00,0x02,0x00,
916     0x1E,0xF1,0xAD,0x81,0x3F,0x1F,0xB0}}, /* 0x36 */
917  {{0xA7,0x7F,0x88,0x89,0x15,0x00,0x02,0x00,
918     0x26,0xF1,0xB1,0x85,0x3F,0x27,0xB0}}, /* 0x37 */
919  {{0xCE,0x9F,0x92,0xA9,0x17,0x00,0x07,0x00,
920     0x28,0xC4,0x7A,0x8E,0xCF,0x29,0xA1}}, /* 0x38 */
921  {{0xCE,0x9F,0x92,0xA5,0x17,0x00,0x07,0x00,
922     0x28,0xD4,0x7A,0x8E,0xCF,0x29,0xA1}}, /* 0x39 */
923  {{0xD3,0x9F,0x97,0xAB,0x1F,0x00,0x07,0x00,
924     0x2E,0xD4,0x7D,0x81,0xCF,0x2F,0xA1}}, /* 0x3a */
925  {{0xDC,0x9F,0x00,0xAB,0x19,0x00,0x07,0x00,
926     0xE6,0xEF,0xC0,0xC3,0xBF,0xE7,0x90}}, /* 0x3b */
927  {{0x6B,0x59,0x8F,0x5E,0x8C,0x00,0x05,0x00,
928     0x0B,0x3E,0xE9,0x8B,0xE7,0x04,0x00}}, /* 0x3c */
929  {{0x7B,0x63,0x9F,0x6A,0x93,0x00,0x05,0x00,
930     0x6F,0xF0,0x58,0x8A,0x57,0x70,0xA0}}, /* 0x3d */
931  {{0x86,0x6A,0x8a,0x74,0x06,0x00,0x02,0x00,
932     0x8c,0x15,0x4f,0x83,0xef,0x8d,0x30}}, /* 0x3e */
933  {{0x81,0x6A,0x85,0x70,0x00,0x00,0x02,0x00,
934     0x0f,0x3e,0xeb,0x8e,0xdf,0x10,0x00}}, /* 0x3f */
935  {{0xCE,0x9F,0x92,0xA9,0x17,0x00,0x07,0x00,
936     0x20,0xF5,0x03,0x88,0xFF,0x21,0x90}}, /* 0x40 */
937  {{0xE6,0xAE,0x8A,0xBD,0x90,0x00,0x03,0x00,
938     0x3D,0x10,0x1A,0x8D,0x19,0x3E,0x2F}}, /* 0x41 */
939  {{0xB9,0x8F,0x9D,0x9B,0x8A,0x00,0x06,0x00,
940     0x7D,0xFF,0x60,0x83,0x5F,0x7E,0x90}}, /* 0x42 */
941  {{0xC3,0x8F,0x87,0x9B,0x0B,0x00,0x07,0x00,
942     0x82,0xFF,0x60,0x83,0x5F,0x83,0x90}},  /* 0x43 */
943  {{0xAD,0x7F,0x91,0x8E,0x9C,0x00,0x02,0x82,
944     0x49,0xF5,0x00,0x83,0xFF,0x4A,0x90}},  /* 0x44 */
945  {{0xCD,0x9F,0x91,0xA7,0x19,0x00,0x07,0x60,
946     0xE6,0xFF,0xC0,0x83,0xBF,0xE7,0x90}},  /* 0x45 */
947  {{0xD3,0x9F,0x97,0xAB,0x1F,0x00,0x07,0x60,
948     0xF1,0xFF,0xC0,0x83,0xBF,0xF2,0x90}},  /* 0x46 */
949  {{0xD7,0x9F,0x9B,0xAC,0x1E,0x00,0x07,0x00,
950     0x03,0xDE,0xC0,0x84,0xBF,0x04,0x90}}  /* 0x47 */
951 };
952
953 XGI330_CHTVRegDataStruct XGI_CHTVRegUNTSC[] = {
954                 /* Index:000h,001h,002h,004h,003h,005h,006h,007h,008h,015h,01Fh,00Ch,00Dh,00Eh,00Fh,010h */
955                 {{      0x4A,0x77,0xBB,0x94,0x84,0x48,0xFE,0x50,0x04,0x00,0x80,0x00,0x00,0x00,0x00,0x01  }},/* 00 (640x200,640x400) */
956                 {{      0x4A,0x77,0xBB,0x94,0x84,0x48,0xFE,0x50,0x04,0x00,0x80,0x00,0x00,0x00,0x00,0x01  }},/* 01 (640x350) */
957                 {{      0x4A,0x77,0xBB,0x94,0x84,0x48,0xFE,0x50,0x04,0x00,0x80,0x00,0x00,0x00,0x00,0x01  }},/* 02 (720x400) */
958                 {{      0x4A,0x77,0xBB,0x94,0x84,0x48,0xFE,0x50,0x04,0x00,0x80,0x00,0x00,0x00,0x00,0x01  }},/* 03 (720x350) */
959                 {{      0x6A,0x77,0xBB,0x6E,0x84,0x2E,0x02,0x5A,0x04,0x00,0x80,0x20,0x7E,0x80,0x97,0x00  }},/* 04 (640x480) ;;5/6/02 */
960                 {{      0xCF,0x77,0xB7,0xC8,0x84,0x3B,0x02,0x5A,0x04,0x00,0x80,0x19,0x88,0xAE,0xA3,0x00  }},/* 05 (800x600) ;;1/12/02 */
961                 {{      0xEE,0x77,0xBB,0x66,0x87,0x32,0x01,0x5A,0x04,0x00,0x80,0x1B,0xD4,0x2F,0x6F,0x00  }}/* 06 (1024x768) ;;5/6/02 */
962           };
963
964 XGI330_CHTVRegDataStruct XGI_CHTVRegONTSC[]= {
965                 /* Index:000h,001h,002h,004h,003h,005h,006h,007h,008h,015h,01Fh,00Ch,00Dh,00Eh,00Fh,010h */
966                 {{      0x49,0x77,0xBB,0x7B,0x84,0x34,0x00,0x50,0x04,0x00,0x80,0x00,0x00,0x00,0x00,0x01  }},/* 00 (640x200,640x400) */
967                 {{      0x49,0x77,0xBB,0x7B,0x84,0x34,0x00,0x50,0x04,0x00,0x80,0x00,0x00,0x00,0x00,0x01  }},/* 01 (640x350) */
968                 {{      0x49,0x77,0xBB,0x7B,0x84,0x34,0x00,0x50,0x04,0x00,0x80,0x00,0x00,0x00,0x00,0x01  }},/* 02 (720x400) */
969                 {{      0x49,0x77,0xBB,0x7B,0x84,0x34,0x00,0x50,0x04,0x00,0x80,0x00,0x00,0x00,0x00,0x01  }},/* 03 (720x350) */
970                 {{      0x69,0x77,0xBB,0x6E,0x84,0x1E,0x00,0x5A,0x04,0x00,0x80,0x25,0x1A,0x80,0x26,0x00  }},/* 04 (640x480) ;;5/6/02 */
971                 {{      0xCE,0x77,0xB7,0xB6,0x83,0x2C,0x02,0x5A,0x04,0x00,0x80,0x1C,0x00,0x82,0x97,0x00  }},/* 05 (800x600) ;;5/6/02 */
972                 {{      0xED,0x77,0xBB,0x66,0x8C,0x21,0x02,0x5A,0x04,0x00,0x80,0x1F,0xA0,0x7E,0x73,0x00  }}/* 06 (1024x768) ;;5/6/02 */
973           };
974
975 XGI330_CHTVRegDataStruct XGI_CHTVRegUPAL[]=  {
976                 /* Index:000h,001h,002h,004h,003h,005h,006h,007h,008h,015h,01Fh,00Ch,00Dh,00Eh,00Fh,010h */
977                 {{      0x41,0x7F,0xB7,0x34,0xAD,0x50,0x34,0x83,0x05,0x00,0x80,0x00,0x00,0x00,0x00,0x01  }},/* ; 00 (640x200,640x400) */
978                 {{      0x41,0x7F,0xB7,0x80,0x85,0x50,0x00,0x83,0x05,0x00,0x80,0x00,0x00,0x00,0x00,0x01  }},/* ; 01 (640x350) */
979                 {{      0x41,0x7F,0xB7,0x34,0xAD,0x50,0x34,0x83,0x05,0x00,0x80,0x00,0x00,0x00,0x00,0x01  }},/* ; 02 (720x400) */
980                 {{      0x41,0x7F,0xB7,0x12,0x85,0x50,0x00,0x83,0x05,0x00,0x80,0x00,0x00,0x00,0x00,0x01  }},/* ; 03 (720x350) */
981                 {{      0x61,0x7F,0xB7,0x99,0x84,0x35,0x04,0x5A,0x05,0x00,0x80,0x26,0x2A,0x55,0x5D,0x00  }},/* ; 04 (640x480) */
982                 {{      0xC3,0x7F,0xB7,0x7A,0x84,0x40,0x02,0x5A,0x05,0x00,0x80,0x1F,0x84,0x3D,0x28,0x00  }},/* ; 05 (800x600) ;;1/12/02 */
983                 {{      0xE5,0x7F,0xB7,0x1D,0xA7,0x3E,0x04,0x5A,0x05,0x00,0x80,0x20,0x3E,0xE4,0x22,0x00  }}/* ; 06 (1024x768) ;;1/12/02 */
984           };
985
986 XGI330_CHTVRegDataStruct XGI_CHTVRegOPAL[]={
987                 /* Index:000,0x01,0x02,0x04,0x03,0x05,0x06,0x07,0x08,0x15,0x1F,0x0C,0x0D,0x0E,0x0F,0x10h */
988                 {{      0x41,0x7F,0xB7,0x36,0xAD,0x50,0x34,0x83,0x05,0x00,0x80,0x00,0x00,0x00,0x00,0x01 }},/* 00 (640x200,640x400) */
989                 {{      0x41,0x7F,0xB7,0x86,0x85,0x50,0x00,0x83,0x05,0x00,0x80,0x00,0x00,0x00,0x00,0x01 }},/* 01 (640x350) */
990                 {{      0x41,0x7F,0xB7,0x36,0xAD,0x50,0x34,0x83,0x05,0x00,0x80,0x00,0x00,0x00,0x00,0x01 }},/* 02 (720x400) */
991                 {{      0x41,0x7F,0xB7,0x86,0x85,0x50,0x00,0x83,0x05,0x00,0x80,0x00,0x00,0x00,0x00,0x01 }},/* 03 (720x350) */
992                 {{      0x61,0x7F,0xB7,0x99,0x84,0x35,0x04,0x5A,0x05,0x00,0x80,0x26,0x2A,0x55,0x5D,0x00 }},/* 04 (640x480) */
993                 {{      0xC1,0x7F,0xB7,0x4D,0x8C,0x1E,0x31,0x5A,0x05,0x00,0x80,0x26,0x78,0x19,0x34,0x00 }},/* 05 (800x600) ;;1/12/02 */
994                 {{      0xE4,0x7F,0xB7,0x1E,0xAF,0x29,0x37,0x5A,0x05,0x00,0x80,0x25,0x8C,0xB2,0x2A,0x00 }}/* 06 (1024x768) ;;1/12/02 */
995              };
996
997 UCHAR XGI_CH7017LV1024x768[]={0x60,0x02,0x00,0x07,0x40,0xED,0xA3,
998                                         0xC8,0xC7,0xAC,0xE0,0x02};
999 UCHAR XGI_CH7017LV1400x1050[]={0x60,0x03,0x11,0x00,0x40,0xE3,0xAD,
1000                                         0xDB,0xF6,0xAC,0xE0,0x02};
1001
1002
1003 /*add for new UNIVGABIOS*/
1004 XGI330_LCDDataStruct  XGI_StLCD1024x768Data[]=
1005 {
1006  {   62,  25, 800, 546,1344, 806},
1007  {   32,  15, 930, 546,1344, 806},
1008  {   62,  25, 800, 546,1344, 806}, /* chiawen for dot9 -> dot8 */
1009  {  104,  45, 945, 496,1344, 806},
1010  {   62,  25, 800, 546,1344, 806},
1011  {   31,  18,1008, 624,1344, 806},
1012  {    1,   1,1344, 806,1344, 806}
1013 };
1014
1015 XGI330_LCDDataStruct  XGI_ExtLCD1024x768Data[]=
1016 {
1017  {   42,  25,1536, 419,1344, 806}, /* { 12, 5, 896, 512,1344, 806}, // alan 09/12/2003 */
1018  {   48,  25,1536, 369,1344, 806}, /* { 12, 5, 896, 510,1344, 806}, // alan 09/12/2003 */
1019  {   42,  25,1536, 419,1344, 806}, /* { 32, 15,1008, 505,1344, 806}, // alan 09/12/2003 */
1020  {   48,  25,1536, 369,1344, 806}, /* { 32, 15,1008, 514,1344, 806}, // alan 09/12/2003 */
1021  {   12,   5, 896, 500,1344, 806},
1022  {   42,  25,1024, 625,1344, 806},
1023  {    1,   1,1344, 806,1344, 806},
1024  {   12,   5, 896, 500,1344, 806},
1025  {   42,  25,1024, 625,1344, 806},
1026  {    1,   1,1344, 806,1344, 806},
1027  {   12,   5, 896, 500,1344, 806},
1028  {   42,  25,1024, 625,1344, 806},
1029  {    1,   1,1344, 806,1344, 806}
1030 };
1031
1032 /*XGI330_LCDDataStruct  XGI_St2LCD1024x768Data[]=
1033 {
1034  {   62,  25, 800, 546,1344, 806},
1035  {   32,  15, 930, 546,1344, 806},
1036  {   62,  25, 800, 546,1344, 806},
1037  {  104,  45, 945, 496,1344, 806},
1038  {   62,  25, 800, 546,1344, 806},
1039  {   31,  18,1008, 624,1344, 806},
1040  {    1,   1,1344, 806,1344, 806}
1041 };*/
1042
1043 XGI330_LCDDataStruct  XGI_CetLCD1024x768Data[]=
1044 {
1045         {         1,1,1344,806,1344,806           }, /* ; 00 (320x200,320x400,640x200,640x400) */
1046         {         1,1,1344,806,1344,806           }, /* 01 (320x350,640x350) */
1047         {         1,1,1344,806,1344,806           }, /* 02 (360x400,720x400) */
1048         {         1,1,1344,806,1344,806           }, /* 03 (720x350) */
1049         {         1,1,1344,806,1344,806           }, /* 04 (640x480x60Hz) */
1050         {         1,1,1344,806,1344,806           }, /* 05 (800x600x60Hz) */
1051         {         1,1,1344,806,1344,806           }  /* 06 (1024x768x60Hz) */
1052 };
1053
1054 XGI330_LCDDataStruct  XGI_StLCD1280x1024Data[]=
1055 {
1056  {   22,   5, 800, 510,1650,1088},
1057  {   22,   5, 800, 510,1650,1088},
1058  {  176,  45, 900, 510,1650,1088},
1059  {  176,  45, 900, 510,1650,1088},
1060  {   22,   5, 800, 510,1650,1088},
1061  {   13,   5,1024, 675,1560,1152},
1062  {   16,   9,1266, 804,1688,1072},
1063  {    1,   1,1688,1066,1688,1066}
1064 };
1065
1066 XGI330_LCDDataStruct  XGI_ExtLCD1280x1024Data[]=
1067 {
1068  {  211,  60,1024, 501,1688,1066},
1069  {  211,  60,1024, 508,1688,1066},
1070  {  211,  60,1024, 501,1688,1066},
1071  {  211,  60,1024, 508,1688,1066},
1072  {  211,  60,1024, 500,1688,1066},
1073  {  211,  75,1024, 625,1688,1066},
1074  {  211, 120,1280, 798,1688,1066},
1075  {    1,   1,1688,1066,1688,1066}
1076 };
1077
1078 XGI330_LCDDataStruct  XGI_St2LCD1280x1024Data[]=
1079 {
1080  {   22,   5, 800, 510,1650,1088},
1081  {   22,   5, 800, 510,1650,1088},
1082  {  176,  45, 900, 510,1650,1088},
1083  {  176,  45, 900, 510,1650,1088},
1084  {   22,   5, 800, 510,1650,1088},
1085  {   13,   5,1024, 675,1560,1152},
1086  {   16,   9,1266, 804,1688,1072},
1087  {    1,   1,1688,1066,1688,1066}
1088 };
1089
1090 XGI330_LCDDataStruct  XGI_CetLCD1280x1024Data[]=
1091 {
1092         {         1,1,1688,1066,1688,1066         }, /* 00 (320x200,320x400,640x200,640x400) */
1093         {         1,1,1688,1066,1688,1066         }, /* 01 (320x350,640x350) */
1094         {         1,1,1688,1066,1688,1066         }, /* 02 (360x400,720x400) */
1095         {         1,1,1688,1066,1688,1066         }, /* 03 (720x350) */
1096         {         1,1,1688,1066,1688,1066         }, /* 04 (640x480x60Hz) */
1097         {         1,1,1688,1066,1688,1066         }, /* 05 (800x600x60Hz) */
1098         {         1,1,1688,1066,1688,1066         }, /* 06 (1024x768x60Hz) */
1099         {         1,1,1688,1066,1688,1066         }, /* 07 (1280x1024x60Hz) */
1100         {         1,1,1688,1066,1688,1066         } /* 08 (1400x1050x60Hz) */
1101 };
1102
1103 XGI330_LCDDataStruct  XGI_StLCD1400x1050Data[]=
1104 {
1105         {         211,100,2100,408,1688,1066      }, /* 00 (320x200,320x400,640x200,640x400) */
1106         {         211,64,1536,358,1688,1066       }, /* 01 (320x350,640x350) */
1107         {         211,100,2100,408,1688,1066      }, /* 02 (360x400,720x400) */
1108         {         211,64,1536,358,1688,1066       }, /* 03 (720x350) */
1109         {         211,48,840,488,1688,1066        }, /* 04 (640x480x60Hz) */
1110         {         211,72,1008,609,1688,1066       }, /* 05 (800x600x60Hz) */
1111         {         211,128,1400,776,1688,1066      }, /* 06 (1024x768x60Hz) */
1112         {         1,1,1688,1066,1688,1066         }, /* 07 (1280x1024x60Hz w/o Scaling) */
1113         {         1,1,1688,1066,1688,1066         }  /* 08 (1400x1050x60Hz) */
1114 };
1115
1116 XGI330_LCDDataStruct  XGI_ExtLCD1400x1050Data[]=
1117 {
1118         {         211,100,2100,408,1688,1066      }, /* 00 (320x200,320x400,640x200,640x400) */
1119         {         211,64,1536,358,1688,1066       }, /* 01 (320x350,640x350) */
1120         {         211,100,2100,408,1688,1066      }, /* 02 (360x400,720x400) */
1121         {         211,64,1536,358,1688,1066       }, /* 03 (720x350) */
1122         {         211,48,840,488,1688,1066        }, /* 04 (640x480x60Hz) */
1123         {         211,72,1008,609,1688,1066       }, /* 05 (800x600x60Hz) */
1124         {         211,128,1400,776,1688,1066      }, /* 06 (1024x768x60Hz) */
1125         {         1,1,1688,1066,1688,1066         }, /* 07 (1280x1024x60Hz w/o Scaling) */
1126         {         1,1,1688,1066,1688,1066         }  /* 08 (1400x1050x60Hz) */
1127 };
1128
1129 XGI330_LCDDataStruct  XGI_ExtLCD1600x1200Data[]=
1130 {
1131         {         4,1,1620,420,2160,1250          }, /* { 3,1,2160,425,2160,1250 }, // 00 (320x200,320x400,640x200,640x400) // alan 10/14/2003 */
1132         {         27,7,1920,375,2160,1250         }, /* 01 (320x350,640x350) */
1133         {         4,1,1620,420,2160,1250          }, /* { 3,1,2160,425,2160,1250 }, // 02 (360x400,720x400) // alan 10/14/2003 */
1134         {         27,7,1920,375,2160,1250         }, /* 03 (720x350) */
1135         {         27,4,800,500,2160,1250          }, /* 04 (640x480x60Hz) */
1136         {         4,1,1080,625,2160,1250          }, /* 05 (800x600x60Hz) */
1137         {         5,2,1350,800,2160,1250          }, /* 06 (1024x768x60Hz) */
1138         {         27,16,1500,1064,2160,1250       }, /* 07 (1280x1024x60Hz) */
1139         {         9,7,1920,1106,2160,1250         }, /* 08 (1400x1050x60Hz) */
1140         {         1,1,2160,1250,2160,1250         }  /* 09 (1600x1200x60Hz) ;302lv */
1141 };
1142
1143 XGI330_LCDDataStruct  XGI_StLCD1600x1200Data[]=
1144 {
1145         {         27,4,800,500,2160,1250          },/* 00 (320x200,320x400,640x200,640x400) */
1146         {         27,4,800,500,2160,1250          },/* 01 (320x350,640x350) */
1147         {         27,4,800,500,2160,1250          },/* 02 (360x400,720x400) */
1148         {         27,4,800,500,2160,1250          },/* 03 (720x350) */
1149         {         27,4,800,500,2160,1250          },/* 04 (320x240,640x480) */
1150         {         4,1,1080,625,2160,1250          },/* 05 (400x300,800x600) */
1151         {         5,2,1350,800,2160,1250          },/* 06 (512x384,1024x768) */
1152         {         135,88,1600,1100,2160,1250      },/* 07 (1280x1024) */
1153         {         1,1,1800,1500,2160,1250         },/* 08 (1400x1050) */
1154         {         1,1,2160,1250,2160,1250         } /* 09 (1600x1200) */
1155 };
1156
1157 XGI330_LCDDataStruct  XGI_CetLCD1400x1050Data[]=
1158 {
1159         {         1,1,1688,1066,1688,1066         }, /* 00 (320x200,320x400,640x200,640x400) */
1160         {         1,1,1688,1066,1688,1066         }, /* 01 (320x350,640x350) */
1161         {         1,1,1688,1066,1688,1066         }, /* 02 (360x400,720x400) */
1162         {         1,1,1688,1066,1688,1066         }, /* 03 (720x350) */
1163         {         1,1,1688,1066,1688,1066         }, /* 04 (640x480x60Hz) */
1164         {         1,1,1688,1066,1688,1066         }, /* 05 (800x600x60Hz) */
1165         {         1,1,1688,1066,1688,1066         }, /* 06 (1024x768x60Hz) */
1166         {         1,1,1688,1066,1688,1066         }, /* 07 (1280x1024x60Hz) */
1167         {         1,1,1688,1066,1688,1066         }  /* 08 (1400x1050x60Hz) */
1168 };
1169
1170 XGI330_LCDDataStruct  XGI_NoScalingData[]=
1171 {
1172  {    1,   1, 800, 449, 800, 449},
1173  {    1,   1, 800, 449, 800, 449},
1174  {    1,   1, 900, 449, 900, 449},
1175  {    1,   1, 900, 449, 900, 449},
1176  {    1,   1, 800, 525, 800, 525},
1177  {    1,   1,1056, 628,1056, 628},
1178  {    1,   1,1344, 806,1344, 806},
1179  {    1,   1,1688,1066,1688,1066}
1180 };
1181
1182 XGI330_LCDDataStruct  XGI_ExtLCD1024x768x75Data[]=
1183 {
1184         {42,25,1536,419,1344,806 }, /* ; 00 (320x200,320x400,640x200,640x400) */
1185         {48,25,1536,369,1344,806 }, /* ; 01 (320x350,640x350) */
1186         {42,25,1536,419,1344,806 }, /* ; 02 (360x400,720x400) */
1187         {48,25,1536,369,1344,806 }, /* ; 03 (720x350) */
1188         {8,5,1312,500,1312,800   }, /* ; 04 (640x480x75Hz) */
1189         {41,25,1024,625,1312,800 }, /* ; 05 (800x600x75Hz) */
1190         {1,1,1312,800,1312,800   }  /* ; 06 (1024x768x75Hz) */
1191 };
1192
1193 XGI330_LCDDataStruct  XGI_StLCD1024x768x75Data[]=
1194 {
1195         {42,25,1536,419,1344,806 }, /* ; 00 (320x200,320x400,640x200,640x400) */
1196         {48,25,1536,369,1344,806 }, /* ; 01 (320x350,640x350) */
1197         {42,25,1536,419,1344,806 }, /* ; 02 (360x400,720x400) */
1198         {48,25,1536,369,1344,806 }, /* ; 03 (720x350) */
1199         {8,5,1312,500,1312,800   }, /* ; 04 (640x480x75Hz) */
1200         {41,25,1024,625,1312,800 }, /* ; 05 (800x600x75Hz) */
1201         {1,1,1312,800,1312,800   }  /* ; 06 (1024x768x75Hz) */
1202 };
1203
1204 XGI330_LCDDataStruct  XGI_CetLCD1024x768x75Data[]=
1205 {
1206         {1,1,1312,800,1312,800}, /* ; 00 (320x200,320x400,640x200,640x400) */
1207         {1,1,1312,800,1312,800}, /* ; 01 (320x350,640x350) */
1208         {1,1,1312,800,1312,800}, /* ; 02 (360x400,720x400) */
1209         {1,1,1312,800,1312,800}, /* ; 03 (720x350) */
1210         {1,1,1312,800,1312,800}, /* ; 04 (640x480x75Hz) */
1211         {1,1,1312,800,1312,800}, /* ; 05 (800x600x75Hz) */
1212         {1,1,1312,800,1312,800} /* ; 06 (1024x768x75Hz) */
1213 };
1214
1215 XGI330_LCDDataStruct  XGI_ExtLCD1280x1024x75Data[]=
1216 {
1217         {211,60,1024,501,1688,1066   }, /* ; 00 (320x200,320x400,640x200,640x400) */
1218         {211,60,1024,508,1688,1066   }, /* ; 01 (320x350,640x350) */
1219         {211,60,1024,501,1688,1066   }, /* ; 02 (360x400,720x400) */
1220         {211,60,1024,508,1688,1066   }, /* ; 03 (720x350) */
1221         {211,45,768,498,1688,1066    }, /* ; 04 (640x480x75Hz) */
1222         {211,75,1024,625,1688,1066   }, /* ; 05 (800x600x75Hz) */
1223         {211,120,1280,798,1688,1066  }, /* ; 06 (1024x768x75Hz) */
1224         {1,1,1688,1066,1688,1066     }  /* ; 07 (1280x1024x75Hz) */
1225 };
1226
1227 XGI330_LCDDataStruct  XGI_StLCD1280x1024x75Data[]=
1228 {
1229         {211,60,1024,501,1688,1066 }, /* ; 00 (320x200,320x400,640x200,640x400) */
1230         {211,60,1024,508,1688,1066 }, /* ; 01 (320x350,640x350) */
1231         {211,60,1024,501,1688,1066 }, /* ; 02 (360x400,720x400) */
1232         {211,60,1024,508,1688,1066 }, /* ; 03 (720x350) */
1233         {211,45,768,498,1688,1066  }, /* ; 04 (640x480x75Hz) */
1234         {211,75,1024,625,1688,1066 }, /* ; 05 (800x600x75Hz) */
1235         {211,120,1280,798,1688,1066}, /* ; 06 (1024x768x75Hz) */
1236         {1,1,1688,1066,1688,1066   }  /* ; 07 (1280x1024x75Hz) */
1237 };
1238
1239 XGI330_LCDDataStruct  XGI_CetLCD1280x1024x75Data[]=
1240 {
1241         {1,1,1688,1066,1688,1066}, /* ; 00 (320x200,320x400,640x200,640x400) */
1242         {1,1,1688,1066,1688,1066}, /* ; 01 (320x350,640x350) */
1243         {1,1,1688,1066,1688,1066}, /* ; 02 (360x400,720x400) */
1244         {1,1,1688,1066,1688,1066}, /* ; 03 (720x350) */
1245         {1,1,1688,1066,1688,1066}, /* ; 04 (640x480x75Hz) */
1246         {1,1,1688,1066,1688,1066}, /* ; 05 (800x600x75Hz) */
1247         {1,1,1688,1066,1688,1066}, /* ; 06 (1024x768x75Hz) */
1248         {1,1,1688,1066,1688,1066}  /* ; 07 (1280x1024x75Hz) */
1249 };
1250
1251 XGI330_LCDDataStruct  XGI_NoScalingDatax75[]=
1252 {
1253         {1,1,800,449,800,449    }, /* ; 00 (320x200,320x400,640x200,640x400) */
1254         {1,1,800,449,800,449    }, /* ; 01 (320x350,640x350) */
1255         {1,1,900,449,900,449    }, /* ; 02 (360x400,720x400) */
1256         {1,1,900,449,900,449    }, /* ; 03 (720x350) */
1257         {1,1,840,500,840,500    }, /* ; 04 (640x480x75Hz) */
1258         {1,1,1056,625,1056,625  }, /* ; 05 (800x600x75Hz) */
1259         {1,1,1312,800,1312,800  }, /* ; 06 (1024x768x75Hz) */
1260         {1,1,1688,1066,1688,1066}, /* ; 07 (1280x1024x75Hz) */
1261         {1,1,1688,1066,1688,1066}, /* ; 08 (1400x1050x75Hz) ;;[ycchen] 12/19/02 */
1262         {1,1,2160,1250,2160,1250}, /* ; 09 (1600x1200x75Hz) */
1263         {1,1,1688,806,1688,806  }  /* ; 0A (1280x768x75Hz) */
1264 };
1265
1266 XGI330_LCDDataDesStruct  XGI_ExtLCDDes1024x768Data[]=
1267 {
1268    {  9,1057,0, 771  }, /* ; 00 (320x200,320x400,640x200,640x400) */
1269    {  9,1057,0, 771  }, /* ; 01 (320x350,640x350) */
1270    {  9,1057,0, 771  }, /* ; 02 (360x400,720x400) */
1271    {  9,1057,0, 771  }, /* ; 03 (720x350) */
1272    {  9,1057,0, 771  }, /* ; 04 (640x480x60Hz) */
1273    {  9,1057,0, 771  }, /* ; 05 (800x600x60Hz) */
1274    {  9,1057,805, 770  }  /* ; 06 (1024x768x60Hz) */
1275 };
1276
1277 XGI330_LCDDataDesStruct  XGI_StLCDDes1024x768Data[]=
1278 {
1279         { 9,1057,737,703   }, /* ; 00 (320x200,320x400,640x200,640x400) */
1280         { 9,1057,686,651   }, /* ; 01 (320x350,640x350) */
1281         { 9,1057,737,703   }, /* ; 02 (360x400,720x400) */
1282         { 9,1057,686,651   }, /* ; 03 (720x350) */
1283         { 9,1057,776,741   }, /* ; 04 (640x480x60Hz) */
1284         { 9,1057, 0 ,771   }, /* ; 05 (800x600x60Hz) */
1285         { 9,1057,805,770   }  /* ; 06 (1024x768x60Hz) */
1286 };
1287
1288 XGI330_LCDDataDesStruct  XGI_CetLCDDes1024x768Data[]=
1289 {
1290         {      1152,856,622,587   }, /* ; 00 (320x200,320x400,640x200,640x400) */
1291         {      1152,856,597,562   }, /* ; 01 (320x350,640x350) */
1292         {      1152,856,622,587   }, /* ; 02 (360x400,720x400) */
1293         {      1152,856,597,562   }, /* ; 03 (720x350) */
1294         {      1152,856,662,627   }, /* ; 04 (640x480x60Hz) */
1295         {      1232,936,722,687   }, /* ; 05 (800x600x60Hz) */
1296         {      0,1048,805,770   }  /* ; 06 (1024x768x60Hz) */
1297 };
1298
1299 XGI330_LCDDataDesStruct XGI_ExtLCDDLDes1280x1024Data[]=
1300 {
1301         {      18,1346,981,940     },/* 00 (320x200,320x400,640x200,640x400) */
1302         {      18,1346,926,865     },/* 01 (320x350,640x350) */
1303         {      18,1346,981,940     },/* 02 (360x400,720x400) */
1304         {      18,1346,926,865     },/* 03 (720x350) */
1305         {      18,1346,0,1025     },/* 04 (640x480x60Hz) */
1306         {      18,1346,0,1025     },/* 05 (800x600x60Hz) */
1307         {      18,1346,1065,1024     },/* 06 (1024x768x60Hz) */
1308         {      18,1346,1065,1024     }/* 07 (1280x1024x60Hz) */
1309 };
1310
1311 XGI330_LCDDataDesStruct XGI_StLCDDLDes1280x1024Data[]=
1312 {
1313         {      18,1346,970,907     },/* 00 (320x200,320x400,640x200,640x400) */
1314         {      18,1346,917,854     },/* 01 (320x350,640x350) */
1315         {      18,1346,970,907     },/* 02 (360x400,720x400) */
1316         {      18,1346,917,854     },/* 03 (720x350) */
1317         {      18,1346,0,1025     },/* 04 (640x480x60Hz) */
1318         {      18,1346,0,1025     },/* 05 (800x600x60Hz) */
1319         {      18,1346,1065,1024     },/* 06 (1024x768x60Hz) */
1320         {      18,1346,1065,1024     }/* 07 (1280x1024x60Hz) */
1321 };
1322
1323 XGI330_LCDDataDesStruct  XGI_CetLCDDLDes1280x1024Data[]=
1324 {
1325         {      1368,1008,752,711    }, /* 00 (320x200,320x400,640x200,640x400) */
1326         {      1368,1008,729,688    }, /* 01 (320x350,640x350) */
1327         {      1368,1008,752,711    }, /* 02 (360x400,720x400) */
1328         {      1368,1008,729,688    }, /* 03 (720x350) */
1329         {      1368,1008,794,753    }, /* 04 (640x480x60Hz) */
1330         {      1448,1068,854,813    }, /* 05 (800x600x60Hz) */
1331         {      1560,1200,938,897    }, /* 06 (1024x768x60Hz) */
1332         {      18,1346,1065,1024    }  /* 07 (1280x1024x60Hz) */
1333 };
1334
1335 XGI330_LCDDataDesStruct  XGI_ExtLCDDes1280x1024Data[]=
1336 {
1337         {      9,1337,981,940    }, /* ; 00 (320x200,320x400,640x200,640x400) */
1338         {      9,1337,926,884    }, /* ; 01 (320x350,640x350) alan, 2003/09/30 */
1339         {      9,1337,981,940    }, /* ; 02 (360x400,720x400) */
1340         {      9,1337,926,884    }, /* ; 03 (720x350) alan, 2003/09/30 */
1341         {      9,1337,0,1025    }, /* ; 04 (640x480x60Hz) */
1342         {      9,1337,0,1025    }, /* ; 05 (800x600x60Hz) */
1343         {      9,1337,1065,1024    }, /* ; 06 (1024x768x60Hz) */
1344         {      9,1337,1065,1024    }  /* ; 07 (1280x1024x60Hz) */
1345 };
1346
1347 XGI330_LCDDataDesStruct  XGI_StLCDDes1280x1024Data[]=
1348 {
1349         {      9,1337,970,907    }, /* ; 00 (320x200,320x400,640x200,640x400) */
1350         {      9,1337,917,854    }, /* ; 01 (320x350,640x350) */
1351         {      9,1337,970,907    }, /* ; 02 (360x400,720x400) */
1352         {      9,1337,917,854    }, /* ; 03 (720x350) */
1353         {      9,1337,0,1025    }, /* ; 04 (640x480x60Hz) */
1354         {      9,1337,0,1025    }, /* ; 05 (800x600x60Hz) */
1355         {      9,1337,1065,1024    }, /* ; 06 (1024x768x60Hz) */
1356         {      9,1337,1065,1024    }  /* ; 07 (1280x1024x60Hz) */
1357 };
1358
1359 XGI330_LCDDataDesStruct  XGI_CetLCDDes1280x1024Data[]=
1360 {
1361         {      1368,1008,752,711    }, /* 00 (320x200,320x400,640x200,640x400) */
1362         {      1368,1008,729,688    }, /* 01 (320x350,640x350) */
1363         {      1368,1008,752,711    }, /* 02 (360x400,720x400) */
1364         {      1368,1008,729,688    }, /* 03 (720x350) */
1365         {      1368,1008,794,753    }, /* 04 (640x480x60Hz) */
1366         {      1448,1068,854,813    }, /* 05 (800x600x60Hz) */
1367         {      1560,1200,938,897    }, /* 06 (1024x768x60Hz) */
1368         {      9,1337,1065,1024    }  /* 07 (1280x1024x60Hz) */
1369 };
1370
1371 XGI330_LCDDataDesStruct  XGI_StLCDDLDes1400x1050Data[]=
1372 {
1373         {      18,1464,0,1051    }, /* 00 (320x200,320x400,640x200,640x400) */
1374         {      18,1464,0,1051    }, /* 01 (320x350,640x350) */
1375         {      18,1464,0,1051    }, /* 02 (360x400,720x400) */
1376         {      18,1464,0,1051    }, /* 03 (720x350) */
1377         {      18,1464,0,1051    }, /* 04 (640x480x60Hz) */
1378         {      18,1464,0,1051    }, /* 05 (800x600x60Hz) */
1379         {      18,1464,0,1051    }, /* 06 (1024x768x60Hz) */
1380         {      1646,1406,1053,1038    }, /* 07 (1280x1024x60Hz) */
1381         {      18,1464,0,1051    }  /* 08 (1400x1050x60Hz) */
1382 };
1383
1384 XGI330_LCDDataDesStruct  XGI_ExtLCDDLDes1400x1050Data[]=
1385 {
1386         {      18,1464,0,1051    }, /* 00 (320x200,320x400,640x200,640x400) */
1387         {      18,1464,0,1051    }, /* 01 (320x350,640x350) */
1388         {      18,1464,0,1051    }, /* 02 (360x400,720x400) */
1389         {      18,1464,0,1051    }, /* 03 (720x350) */
1390         {      18,1464,0,1051    }, /* 04 (640x480x60Hz) */
1391         {      18,1464,0,1051    }, /* 05 (800x600x60Hz) */
1392         {      18,1464,0,1051    }, /* 06 (1024x768x60Hz) */
1393         {      1646,1406,1053,1038    }, /* 07 (1280x1024x60Hz) */
1394         {      18,1464,0,1051    }  /* 08 (1400x1050x60Hz) */
1395 };
1396
1397 XGI330_LCDDataDesStruct  XGI_StLCDDes1400x1050Data[]=
1398 {
1399         {      9,1455,0,1051     },/* 00 (320x200,320x400,640x200,640x400) */
1400         {      9,1455,0,1051     },/* 01 (320x350,640x350) */
1401         {      9,1455,0,1051     },/* 02 (360x400,720x400) */
1402         {      9,1455,0,1051     },/* 03 (720x350) */
1403         {      9,1455,0,1051     },/* 04 (640x480x60Hz) */
1404         {      9,1455,0,1051     },/* 05 (800x600x60Hz) */
1405         {      9,1455,0,1051     },/* 06 (1024x768x60Hz) */
1406         {      1637,1397,1053,1038     },/* 07 (1280x1024x60Hz) */
1407         {      9,1455,0,1051     } /* 08 (1400x1050x60Hz) */
1408 };
1409
1410 XGI330_LCDDataDesStruct  XGI_ExtLCDDes1400x1050Data[]=
1411 {
1412         {      9,1455,0,1051     },/* 00 (320x200,320x400,640x200,640x400) */
1413         {      9,1455,0,1051     },/* 01 (320x350,640x350) */
1414         {      9,1455,0,1051     },/* 02 (360x400,720x400) */
1415         {      9,1455,0,1051     },/* 03 (720x350) */
1416         {      9,1455,0,1051     },/* 04 (640x480x60Hz) */
1417         {      9,1455,0,1051     },/* 05 (800x600x60Hz) */
1418         {      9,1455,0,1051     },/* 06 (1024x768x60Hz) */
1419         {      1637,1397,1053,1038     },/* 07 (1280x1024x60Hz) */
1420         {      9,1455,0,1051     } /* 08 (1400x1050x60Hz) */
1421 };
1422
1423 XGI330_LCDDataDesStruct  XGI_CetLCDDes1400x1050Data[]=
1424 {
1425         {      1308,1068,781,766    }, /* 00 (320x200,320x400,640x200,640x400) */
1426         {      1308,1068,781,766    }, /* 01 (320x350,640x350) */
1427         {      1308,1068,781,766    }, /* 02 (360x400,720x400) */
1428         {      1308,1068,781,766    }, /* 03 (720x350) */
1429         {      1308,1068,781,766    }, /* 04 (640x480x60Hz) */
1430         {      1388,1148,841,826    }, /* 05 (800x600x60Hz) */
1431         {      1490,1250,925,910    }, /* 06 (1024x768x60Hz) */
1432         {      1646,1406,1053,1038    }, /* 07 (1280x1024x60Hz) */
1433         {      18,1464,0,1051    } /* 08 (1400x1050x60Hz) */
1434 };
1435
1436 XGI330_LCDDataDesStruct  XGI_CetLCDDes1400x1050Data2[]=
1437 {
1438         {      0,1448,0,1051    }, /* 00 (320x200,320x400,640x200,640x400) */
1439         {      0,1448,0,1051    }, /* 01 (320x350,640x350) */
1440         {      0,1448,0,1051    }, /* 02 (360x400,720x400) */
1441         {      0,1448,0,1051    }, /* 03 (720x350) */
1442         {      0,1448,0,1051    }  /* 04 (640x480x60Hz) */
1443 };
1444
1445
1446
1447 XGI330_LCDDataDesStruct  XGI_ExtLCDDLDes1600x1200Data[]=
1448 {
1449         {      18,1682,0,1201    }, /* 00 (320x200,320x400,640x200,640x400) */
1450         {      18,1682,0,1201    }, /* 01 (320x350,640x350) */
1451         {      18,1682,0,1201    }, /* 02 (360x400,720x400) */
1452         {      18,1682,0,1201    }, /* 03 (720x350) */
1453         {      18,1682,0,1201    }, /* 04 (640x480x60Hz) */
1454         {      18,1682,0,1201    }, /* 05 (800x600x60Hz) */
1455         {      18,1682,0,1201    }, /* 06 (1024x768x60Hz) */
1456         {      18,1682,0,1201    }, /* 07 (1280x1024x60Hz) */
1457         {      18,1682,0,1201    }, /* 08 (1400x1050x60Hz) */
1458         {      18,1682,0,1201    }  /* 09 (1600x1200x60Hz) */
1459 };
1460
1461 XGI330_LCDDataDesStruct  XGI_StLCDDLDes1600x1200Data[]=
1462 {
1463         {      18,1682,1150,1101    }, /* 00 (320x200,320x400,640x200,640x400) */
1464         {      18,1682,1083,1034    }, /* 01 (320x350,640x350) */
1465         {      18,1682,1150,1101    }, /* 02 (360x400,720x400) */
1466         {      18,1682,1083,1034    }, /* 03 (720x350) */
1467         {      18,1682,0,1201    }, /* 04 (640x480x60Hz) */
1468         {      18,1682,0,1201    }, /* 05 (800x600x60Hz) */
1469         {      18,1682,0,1201    }, /* 06 (1024x768x60Hz) */
1470         {      18,1682,1232,1183    }, /* 07 (1280x1024x60Hz) */
1471         {      18,1682,0,1201    }, /* 08 (1400x1050x60Hz) */
1472         {      18,1682,0,1201    } /* 09 (1600x1200x60Hz) */
1473 };
1474
1475 XGI330_LCDDataDesStruct  XGI_ExtLCDDes1600x1200Data[]=
1476 {
1477         {      9,1673,0,1201     },/* 00 (320x200,320x400,640x200,640x400) */
1478         {      9,1673,0,1201     },/* 01 (320x350,640x350) */
1479         {      9,1673,0,1201     },/* 02 (360x400,720x400) */
1480         {      9,1673,0,1201     },/* 03 (720x350) */
1481         {      9,1673,0,1201     },/* 04 (640x480x60Hz) */
1482         {      9,1673,0,1201     },/* 05 (800x600x60Hz) */
1483         {      9,1673,0,1201     },/* 06 (1024x768x60Hz) */
1484         {      9,1673,0,1201     },/* 07 (1280x1024x60Hz) */
1485         {      9,1673,0,1201     },/* 08 (1400x1050x60Hz) */
1486         {      9,1673,0,1201     } /* 09 (1600x1200x60Hz) */
1487 };
1488
1489 XGI330_LCDDataDesStruct  XGI_StLCDDes1600x1200Data[]=
1490 {
1491         {      9,1673,1150,1101     },/* 00 (320x200,320x400,640x200,640x400) */
1492         {      9,1673,1083,1034     },/* 01 (320x350,640x350) */
1493         {      9,1673,1150,1101     },/* 02 (360x400,720x400) */
1494         {      9,1673,1083,1034     },/* 03 (720x350) */
1495         {      9,1673,0,1201     },/* 04 (640x480x60Hz) */
1496         {      9,1673,0,1201     },/* 05 (800x600x60Hz) */
1497         {      9,1673,0,1201     },/* 06 (1024x768x60Hz) */
1498         {      9,1673,1232,1183     },/* 07 (1280x1024x60Hz) */
1499         {      9,1673,0,1201     },/* 08 (1400x1050x60Hz) */
1500         {      9,1673,0,1201     } /* 09 (1600x1200x60Hz) */
1501 };
1502
1503 XGI330_LCDDataDesStruct2  XGI_NoScalingDesData[]=
1504 {
1505         {     9,657,448,405,96,2  }, /* 00 (320x200,320x400,640x200,640x400) */
1506         {     9,657,448,355,96,2  }, /* 01 (320x350,640x350) */
1507         {     9,657,448,405,96,2  }, /* 02 (360x400,720x400) */
1508         {     9,657,448,355,96,2  }, /* 03 (720x350) */
1509         {     9,657,1,483,96,2  }, /* 04 (640x480x60Hz) */
1510         {     9,849,627,600,128,4  }, /* 05 (800x600x60Hz) */
1511         {     9,1057,805,770,0136,6  }, /* 06 (1024x768x60Hz) */
1512         {     9,1337,0,1025,112,3  }, /* 07 (1280x1024x60Hz) */
1513         {     9,1457,0,1051,112,3  }, /* 08 (1400x1050x60Hz) }, //;[ycchen] 12/19/02 */
1514         {     9,1673,0,1201,192,3  }, /* 09 (1600x1200x60Hz) */
1515         {     9,1337,0,771,112,6  }  /* 0A (1280x768x60Hz) */
1516 };
1517
1518 XGI330_LCDDataDesStruct  XGI_ExtLCDDes1024x768x75Data[]=                /* ;;1024x768x75Hz */
1519 {
1520         {9,1049,0,769},    /* ; 00 (320x200,320x400,640x200,640x400) */
1521         {9,1049,0,769},    /* ; 01 (320x350,640x350) */
1522         {9,1049,0,769},    /* ; 02 (360x400,720x400) */
1523         {9,1049,0,769},    /* ; 03 (720x350) */
1524         {9,1049,0,769},    /* ; 04 (640x480x75Hz) */
1525         {9,1049,0,769},    /* ; 05 (800x600x75Hz) */
1526         {9,1049,0,769}     /* ; 06 (1024x768x75Hz) */
1527 };
1528
1529 XGI330_LCDDataDesStruct  XGI_StLCDDes1024x768x75Data[]=
1530 {
1531         {9,1049,0,769},    /* ; 00 (320x200,320x400,640x200,640x400) */
1532         {9,1049,0,769},    /* ; 01 (320x350,640x350) */
1533         {9,1049,0,769},    /* ; 02 (360x400,720x400) */
1534         {9,1049,0,769},    /* ; 03 (720x350) */
1535         {9,1049,0,769},    /* ; 04 (640x480x75Hz) */
1536         {9,1049,0,769},    /* ; 05 (800x600x75Hz) */
1537         {9,1049,0,769}     /* ; 06 (1024x768x75Hz) */
1538 };
1539
1540 XGI330_LCDDataDesStruct  XGI_CetLCDDes1024x768x75Data[]=        /* ;;1024x768x75Hz */
1541 {
1542         {1152,856,622,587},     /* ; 00 (320x200,320x400,640x200,640x400) */
1543         {1152,856,597,562},     /* ; 01 (320x350,640x350) */
1544         {1192,896,622,587},     /* ; 02 (360x400,720x400) */
1545         {1192,896,597,562},     /* ; 03 (720x350) */
1546         {1129,857,656,625},     /* ; 04 (640x480x75Hz) */
1547         {1209,937,716,685},     /* ; 05 (800x600x75Hz) */
1548         {9,1049,0,769}          /* ; 06 (1024x768x75Hz) */
1549 };
1550
1551 XGI330_LCDDataDesStruct  XGI_ExtLCDDLDes1280x1024x75Data[]=         /* ;;1280x1024x75Hz */
1552 {
1553         {18,1314,0,1025     },/* ; 00 (320x200,320x400,640x200,640x400) */
1554         {18,1314,0,1025     },/* ; 01 (320x350,640x350) */
1555         {18,1314,0,1025     },/* ; 02 (360x400,720x400) */
1556         {18,1314,0,1025     },/* ; 03 (720x350) */
1557         {18,1314,0,1025     },/* ; 04 (640x480x60Hz) */
1558         {18,1314,0,1025     },/* ; 05 (800x600x60Hz) */
1559         {18,1314,0,1025     },/* ; 06 (1024x768x60Hz) */
1560         {18,1314,0,1025     }/* ; 07 (1280x1024x60Hz) */
1561 };
1562
1563 XGI330_LCDDataDesStruct  XGI_StLCDDLDes1280x1024x75Data[]=
1564 {
1565         {18,1314,0,1025     },/* ; 00 (320x200,320x400,640x200,640x400) */
1566         {18,1314,0,1025     },/* ; 01 (320x350,640x350) */
1567         {18,1314,0,1025     },/* ; 02 (360x400,720x400) */
1568         {18,1314,0,1025     },/* ; 03 (720x350) */
1569         {18,1314,0,1025     },/* ; 04 (640x480x60Hz) */
1570         {18,1314,0,1025     },/* ; 05 (800x600x60Hz) */
1571         {18,1314,0,1025     },/* ; 06 (1024x768x60Hz) */
1572         {18,1314,0,1025     }/* ; 07 (1280x1024x60Hz) */
1573 };
1574
1575 XGI330_LCDDataDesStruct  XGI_CetLCDDLDes1280x1024x75Data[]=     /* 1280x1024x75Hz */
1576 {
1577         {1368,1008,752,711},    /* ; 00 (320x200,320x400,640x200,640x400) */
1578         {1368,1008,729,688},    /* ; 01 (320x350,640x350) */
1579         {1408,1048,752,711},    /* ; 02 (360x400,720x400) */
1580         {1408,1048,729,688},    /* ; 03 (720x350) */
1581         {1377,985,794,753},    /* ; 04 (640x480x75Hz) */
1582         {1457,1065,854,813},    /* ; 05 (800x600x75Hz) */
1583         {1569,1177,938,897},    /* ; 06 (1024x768x75Hz) */
1584         {18,1314,0,1025}          /* ; 07 (1280x1024x75Hz) */
1585 };
1586
1587 XGI330_LCDDataDesStruct  XGI_ExtLCDDes1280x1024x75Data[]=         /* ;;1280x1024x75Hz */
1588 {
1589         {9,1305,0,1025},/* ; 00 (320x200,320x400,640x200,640x400) */
1590         {9,1305,0,1025},/* ; 01 (320x350,640x350) */
1591         {9,1305,0,1025},/* ; 02 (360x400,720x400) */
1592         {9,1305,0,1025},/* ; 03 (720x350) */
1593         {9,1305,0,1025},/* ; 04 (640x480x60Hz) */
1594         {9,1305,0,1025},/* ; 05 (800x600x60Hz) */
1595         {9,1305,0,1025},/* ; 06 (1024x768x60Hz) */
1596         {9,1305,0,1025} /* ; 07 (1280x1024x60Hz) */
1597 };
1598
1599 XGI330_LCDDataDesStruct  XGI_StLCDDes1280x1024x75Data[]=
1600 {
1601         {9,1305,0,1025},/* ; 00 (320x200,320x400,640x200,640x400) */
1602         {9,1305,0,1025},/* ; 01 (320x350,640x350) */
1603         {9,1305,0,1025},/* ; 02 (360x400,720x400) */
1604         {9,1305,0,1025},/* ; 03 (720x350) */
1605         {9,1305,0,1025},/* ; 04 (640x480x60Hz) */
1606         {9,1305,0,1025},/* ; 05 (800x600x60Hz) */
1607         {9,1305,0,1025},/* ; 06 (1024x768x60Hz) */
1608         {9,1305,0,1025} /* ; 07 (1280x1024x60Hz) */
1609 };
1610
1611 XGI330_LCDDataDesStruct  XGI_CetLCDDes1280x1024x75Data[]=       /* 1280x1024x75Hz */
1612 {
1613         {1368,1008,752,711},    /* ; 00 (320x200,320x400,640x200,640x400) */
1614         {1368,1008,729,688},    /* ; 01 (320x350,640x350) */
1615         {1408,1048,752,711},    /* ; 02 (360x400,720x400) */
1616         {1408,1048,729,688},    /* ; 03 (720x350) */
1617         {1377,985,794,753},    /* ; 04 (640x480x75Hz) */
1618         {1457,1065,854,813},    /* ; 05 (800x600x75Hz) */
1619         {1569,1177,938,897},    /* ; 06 (1024x768x75Hz) */
1620         {9,1305,0,1025}           /* ; 07 (1280x1024x75Hz) */
1621 };
1622
1623 XGI330_LCDDataDesStruct2 XGI_NoScalingDesDatax75[]= /* Scaling LCD 75Hz */
1624 {
1625         {9,657,448,405,96,2},   /* ; 00 (320x200,320x400,640x200,640x400) */
1626         {9,657,448,355,96,2},   /* ; 01 (320x350,640x350) */
1627         {9,738,448,405,108,2},   /* ; 02 (360x400,720x400) */
1628         {9,738,448,355,108,2},   /* ; 03 (720x350) */
1629         {9,665,0,481,64,3},   /* ; 04 (640x480x75Hz) */
1630         {9,825,0,601,80,3},   /* ; 05 (800x600x75Hz) */
1631         {9,1049,0,769,96,3},   /* ; 06 (1024x768x75Hz) */
1632         {9,1305,0,1025,144,3},   /* ; 07 (1280x1024x75Hz) */
1633         {9,1457,0,1051,112,3},   /* ; 08 (1400x1050x60Hz) ;;[ycchen] 12/19/02 */
1634         {9,1673,0,1201,192,3},   /* ; 09 (1600x1200x75Hz) */
1635         {9,1337,0,771,112,6}    /* ; 0A (1280x768x60Hz) */
1636 };
1637
1638 XGI330_TVDataStruct  XGI_StPALData[]=
1639 {
1640  {    1,   1, 864, 525,1270, 400, 100,   0, 760},
1641  {    1,   1, 864, 525,1270, 350, 100,   0, 760},
1642  {    1,   1, 864, 525,1270, 400,   0,   0, 720},
1643  {    1,   1, 864, 525,1270, 350,   0,   0, 720},
1644  {    1,   1, 864, 525,1270, 480,  50,   0, 760},
1645  {    1,   1, 864, 525,1270, 600,  50,   0,   0}
1646 };
1647
1648 XGI330_TVDataStruct  XGI_ExtPALData[]=
1649 {
1650  {    2,   1,1080, 463,1270, 500,  50,   0,  50},
1651  {   15,   7,1152, 413,1270, 500,  50,   0,  50},
1652  {    2,   1,1080, 463,1270, 500,  50,   0,  50},
1653  {   15,   7,1152, 413,1270, 500,  50,   0,  50},
1654  {    2,   1, 900, 543,1270, 500,   0,   0,  50},
1655  {    4,   3,1080, 663,1270, 500, 438,   0, 438},
1656  {    1,   1,1125, 831,1270, 500, 686,   0, 686},     /*301b*/
1657  {    3,   2,1080, 619,1270, 540, 438,   0, 438}
1658 };
1659
1660 XGI330_TVDataStruct  XGI_StNTSCData[]=
1661 {
1662  {    1,   1, 858, 525,1270, 400,  50,   0, 760},
1663  {    1,   1, 858, 525,1270, 350,  50,   0, 640},
1664  {    1,   1, 858, 525,1270, 400,   0,   0, 720},
1665  {    1,   1, 858, 525,1270, 350,   0,   0, 720},
1666  {    1,   1, 858, 525,1270, 480,   0,   0, 760}
1667 };
1668
1669 XGI330_TVDataStruct  XGI_ExtNTSCData[]=
1670 {
1671  {    9,  5, 1001, 453,1270, 420, 171,   0, 171},
1672  {   12,  5,  858, 403,1270, 420, 171,   0, 171},
1673  {    9,  5, 1001, 453,1270, 420, 171,   0, 171},
1674  {   12,  5,  858, 403,1270, 420, 171,   0, 171},
1675  {  143,  80, 836, 523,1270, 420, 224,   0,   0},
1676  {  143, 120,1008, 643,1270, 420,   0,   1,   0},
1677  {   1,    1,1120, 821,1516, 420,   0,   1,   0}, /*301b*/
1678  {    2,   1, 858, 503,1584, 480,   0,   1,   0},
1679  {    3,   2,1001, 533,1270, 420,   0,   0,   0}
1680 };
1681
1682 XGI330_TVDataStruct  XGI_St1HiTVData[]=
1683 {
1684         {        1,1,892,563,690,800,0,0,0               }, /* 00 (320x200,320x400,640x200,640x400) */
1685         {        1,1,892,563,690,700,0,0,0               }, /* 01 (320x350,640x350) */
1686         {        1,1,1000,563,785,800,0,0,0              }, /* 02 (360x400,720x400) */
1687         {        1,1,1000,563,785,700,0,0,0              }, /* 03 (720x350) */
1688         {        1,1,892,563,690,960,0,0,0               }, /* 04 (320x240,640x480) */
1689         {        8,5,1050,683,1648,960,0x150,1,0         }  /* 05 (400x300,800x600) */
1690 };
1691
1692 XGI330_TVDataStruct  XGI_St2HiTVData[]=
1693 {
1694         {        3,1,840,483,1648,960,0x032,0,0          }, /* 00 (320x200,320x400,640x200,640x400) */
1695         {        1,1,892,563,690,700,0,0,0               }, /* 01 (320x350,640x350) */
1696         {        3,1,840,483,1648,960,0x032,0,0          }, /* 02 (360x400,720x400) */
1697         {        1,1,1000,563,785,700,0,0,0              }, /* 03 (720x350) */
1698         {        5,2,840,563,1648,960,0x08D,1,0          }, /* 04 (320x240,640x480) */
1699         {        8,5,1050,683,1648,960,0x17C,1,0         }  /* 05 (400x300,800x600) */
1700
1701 };
1702
1703 XGI330_TVDataStruct  XGI_ExtHiTVData[]=
1704 {
1705         {        6,1,840,563,1632,960,0,0,0              }, /* 00 (320x200,320x400,640x200,640x400) */
1706         {        3,1,960,563,1632,960,0,0,0              }, /* 01 (320x350,640x350) */
1707         {        3,1,840,483,1632,960,0,0,0              }, /* 02 (360x400,720x400) */
1708         {        3,1,960,563,1632,960,0,0,0              }, /* 03 (720x350) */
1709         {        5,1,840,563,1648,960,0x166,1,0          }, /* 04 (320x240,640x480) */
1710         {        16,5,1050,683,1648,960,0x143,1,0        }, /* 05 (400x300,800x600) */
1711         {        25,12,1260,851,1648,960,0x032,0,0       }, /* 06 (512x384,1024x768) */
1712         {        5,4,1575,1124,1648,960,0x128,0,0        }, /* 07 (1280x1024) */
1713         {        4,1,1050,563,1548,960,0x143,1,0         }, /* 08 (800x480) */
1714         {        5,2,1400,659,1648,960,0x032,0,0         }, /* 09 (1024x576) */
1715         {        8,5,1750,803,1648,960,0x128,0,0         }  /* 0A (1280x720) */
1716
1717 };
1718
1719 XGI330_TVDataStruct  XGI_ExtYPbPr525iData[]=
1720 {
1721  {    9,  5, 1001, 453,1270, 420, 171,   0, 171},
1722  {   12,  5,  858, 403,1270, 420, 171,   0, 171},
1723  {    9,  5, 1001, 453,1270, 420, 171,   0, 171},
1724  {   12,  5,  858, 403,1270, 420, 171,   0, 171},
1725  {  143,  80, 836, 523,1250, 420, 224,   0,   0},
1726  {  143, 120,1008, 643,1250, 420,   0,   1,   0},
1727  {   1,    1,1120, 821,1516, 420,   0,   1,   0}, /*301b*/
1728  {    2,   1, 858, 503,1584, 480,   0,   1,   0},
1729  {    3,   2,1001, 533,1250, 420,   0,   0,   0}
1730 };
1731
1732 XGI330_TVDataStruct  XGI_StYPbPr525iData[]=
1733 {
1734  {    1,   1, 858, 525,1270, 400,  50,   0, 760},
1735  {    1,   1, 858, 525,1270, 350,  50,   0, 640},
1736  {    1,   1, 858, 525,1270, 400,   0,   0, 720},
1737  {    1,   1, 858, 525,1270, 350,   0,   0, 720},
1738  {    1,   1, 858, 525,1270, 480,   0,   0, 760},
1739 };
1740
1741 XGI330_TVDataStruct  XGI_ExtYPbPr525pData[]=
1742 {
1743  {    9,  5, 1001, 453,1270, 420, 171,   0, 171},
1744  {   12,  5,  858, 403,1270, 420, 171,   0, 171},
1745  {    9,  5, 1001, 453,1270, 420, 171,   0, 171},
1746  {   12,  5,  858, 403,1270, 420, 171,   0, 171},
1747  {  143,  80, 836, 523,1270, 420, 224,   0,   0},
1748  {  143, 120,1008, 643,1270, 420,   0,   1,   0},
1749  {   1,    1,1120, 821,1516, 420,   0,   1,   0}, /*301b*/
1750  {    2,   1, 858, 503,1584, 480,   0,   1,   0},
1751  {    3,   2,1001, 533,1270, 420,   0,   0,   0}
1752  };
1753
1754 XGI330_TVDataStruct  XGI_StYPbPr525pData[]=
1755 {
1756  {    1,   1,1716, 525,1270, 400,  50,   0, 760},
1757  {    1,   1,1716, 525,1270, 350,  50,   0, 640},
1758  {    1,   1,1716, 525,1270, 400,   0,   0, 720},
1759  {    1,   1,1716, 525,1270, 350,   0,   0, 720},
1760  {    1,   1,1716, 525,1270, 480,   0,   0, 760},
1761 };
1762
1763 XGI330_TVDataStruct  XGI_ExtYPbPr750pData[]=
1764 {
1765  {    3,   1, 935, 470,1130, 680,  50,   0,   0},       /* 00 (320x200,320x400,640x200,640x400) */
1766  {   24,   7, 935, 420,1130, 680,  50,   0,   0},       /* 01 (320x350,640x350) */
1767  {    3,   1, 935, 470,1130, 680,  50,   0,   0},       /* 02 (360x400,720x400) */
1768  {   24,   7, 935, 420,1130, 680,  50,   0,   0},       /* 03 (720x350) */
1769  {    2,   1,1100, 590,1130, 640,  50,   0,   0},       /* 04 (320x240,640x480) */
1770  {    3,   2,1210, 690,1130, 660,  50,   0,   0},       /* 05 (400x300,800x600) */
1771  {    1,   1,1375, 878,1130, 640, 638,   0,   0},       /* 06 (1024x768) */
1772  {    2,   1, 858, 503,1130, 480,   0,   1,   0},        /* 07 (720x480) */
1773  {    5,   4,1815, 570,1130, 660,  50,   0,   0},
1774  {    5,   3,1100, 686,1130, 640,  50,   1,   0},
1775  {   10,   9,1320, 830,1130, 640,  50,   0,   0}
1776 };
1777
1778 XGI330_TVDataStruct  XGI_StYPbPr750pData[]=
1779 {
1780  {    1,   1,1650, 750,1280, 400,  50,   0, 760},
1781  {    1,   1,1650, 750,1280, 350,  50,   0, 640},
1782  {    1,   1,1650, 750,1280, 400,   0,   0, 720},
1783  {    1,   1,1650, 750,1280, 350,   0,   0, 720},
1784  {    1,   1,1650, 750,1280, 480,   0,   0, 760},
1785 };
1786
1787 UCHAR XGI330_NTSCTiming[] = {
1788   0x17,0x1d,0x03,0x09,0x05,0x06,0x0c,0x0c,
1789   0x94,0x49,0x01,0x0a,0x06,0x0d,0x04,0x0a,
1790   0x06,0x14,0x0d,0x04,0x0a,0x00,0x85,0x1b,
1791   0x0c,0x50,0x00,0x97,0x00,0xda,0x4a,0x17,
1792   0x7d,0x05,0x4b,0x00,0x00,0xe2,0x00,0x02,
1793   0x03,0x0a,0x65,0x9d,0x08,0x92,0x8f,0x40,
1794   0x60,0x80,0x14,0x90,0x8c,0x60,0x14,0x50,
1795   0x00,0x40,0x44,0x00,0xdb,0x02,0x3b,0x00};
1796
1797 UCHAR XGI330_PALTiming[] = {
1798   0x21,0x5A,0x35,0x6e,0x04,0x38,0x3d,0x70,
1799   0x94,0x49,0x01,0x12,0x06,0x3e,0x35,0x6d,
1800   0x06,0x14,0x3e,0x35,0x6d,0x00,0x45,0x2b,
1801   0x70,0x50,0x00,0x9b,0x00,0xd9,0x5d,0x17,
1802   0x7d,0x05,0x45,0x00,0x00,0xe8,0x00,0x02,
1803   0x0d,0x00,0x68,0xb0,0x0b,0x92,0x8f,0x40,
1804   0x60,0x80,0x14,0x90,0x8c,0x60,0x14,0x63,
1805   0x00,0x40,0x3e,0x00,0xe1,0x02,0x28,0x00};
1806
1807 UCHAR XGI330_HiTVExtTiming[] =
1808 {
1809       0x2D,0x60,0x2C,0x5F,0x08,0x31,0x3A,0x64,
1810       0x28,0x02,0x01,0x3D,0x06,0x3E,0x35,0x6D,
1811       0x06,0x14,0x3E,0x35,0x6D,0x00,0xC5,0x3F,
1812       0x64,0x90,0x33,0x8C,0x18,0x36,0x3E,0x13,
1813       0x2A,0xDE,0x2A,0x44,0x40,0x2A,0x44,0x40,
1814       0x8E,0x8E,0x82,0x07,0x0B,
1815       0x92,0x0F,0x40,0x60,0x80,0x14,0x90,0x8C,
1816       0x60,0x14,0x3D,0x63,0x4F,
1817       0x27,0x00,0xfc,0xff,0x6a,0x00
1818
1819 };
1820
1821 UCHAR XGI330_HiTVSt1Timing[] =
1822 {
1823       0x32,0x65,0x2C,0x5F,0x08,0x31,0x3A,0x65,
1824       0x28,0x02,0x01,0x3D,0x06,0x3E,0x35,0x6D,
1825       0x06,0x14,0x3E,0x35,0x6D,0x00,0xC5,0x3F,
1826       0x65,0x90,0x7B,0xA8,0x03,0xF0,0x87,0x03,
1827       0x11,0x15,0x11,0xCF,0x10,0x11,0xCF,0x10,
1828       0x35,0x35,0x3B,0x69,0x1D,
1829       0x92,0x0F,0x40,0x60,0x80,0x14,0x90,0x8C,
1830       0x60,0x04,0x86,0xAF,0x5D,
1831       0x0E,0x00,0xfc,0xff,0x2d,0x00
1832 };
1833
1834 UCHAR XGI330_HiTVSt2Timing[] =
1835 {
1836       0x32,0x65,0x2C,0x5F,0x08,0x31,0x3A,0x64,
1837       0x28,0x02,0x01,0x3D,0x06,0x3E,0x35,0x6D,
1838       0x06,0x14,0x3E,0x35,0x6D,0x00,0xC5,0x3F,
1839       0x64,0x90,0x33,0x8C,0x18,0x36,0x3E,0x13,
1840       0x2A,0xDE,0x2A,0x44,0x40,0x2A,0x44,0x40,
1841       0x8E,0x8E,0x82,0x07,0x0B,
1842       0x92,0x0F,0x40,0x60,0x80,0x14,0x90,0x8C,
1843       0x60,0x14,0x3D,0x63,0x4F,
1844       0x27,0x00,0xFC,0xff,0x6a,0x00
1845 };
1846
1847 UCHAR XGI330_HiTVTextTiming[] =
1848 {
1849       0x32,0x65,0x2C,0x5F,0x08,0x31,0x3A,0x65,
1850       0x28,0x02,0x01,0x3D,0x06,0x3E,0x35,0x6D,
1851       0x06,0x14,0x3E,0x35,0x6D,0x00,0xC5,0x3F,
1852       0x65,0x90,0xE7,0xBC,0x03,0x0C,0x97,0x03,
1853       0x14,0x78,0x14,0x08,0x20,0x14,0x08,0x20,
1854       0xC8,0xC8,0x3B,0xD2,0x26,
1855       0x92,0x0F,0x40,0x60,0x80,0x14,0x90,0x8C,
1856       0x60,0x04,0x96,0x72,0x5C,
1857       0x11,0x00,0xFC,0xFF,0x32,0x00
1858 };
1859
1860 UCHAR XGI330_YPbPr750pTiming[] =
1861 {
1862       0x30,0x1d,0xe8,0x09,0x09,0xed,0x0c,0x0c,
1863       0x98,0x0a,0x01,0x0c,0x06,0x0d,0x04,0x0a,
1864       0x06,0x14,0x0d,0x04,0x0a,0x00,0x85,0x3f,
1865       0xed,0x50,0x70,0x9f,0x16,0x59,0x60,0x13,
1866       0x27,0x0b,0x27,0xfc,0x30,0x27,0x1c,0xb0,
1867       0x4b,0x4b,0x6f,0x2f,0x63,
1868       0x92,0x0F,0x40,0x60,0x80,0x14,0x90,0x8C,
1869       0x60,0x14,0x73,0x00,0x40,
1870       0x11,0x00,0xfc,0xff,0x32,0x00
1871 };
1872
1873 UCHAR XGI330_YPbPr525pTiming[] =
1874 {
1875       0x3E,0x11,0x06,0x09,0x0b,0x0c,0x0c,0x0c,
1876       0x98,0x0a,0x01,0x0d,0x06,0x0d,0x04,0x0a,
1877       0x06,0x14,0x0d,0x04,0x0a,0x00,0x85,0x3f,
1878       0x0c,0x50,0xb2,0x9f,0x16,0x59,0x4f,0x13,
1879       0xad,0x11,0xad,0x1d,0x40,0x8a,0x3d,0xb8,
1880       0x51,0x5e,0x60,0x49,0x7d,
1881       0x92,0x0F,0x40,0x60,0x80,0x14,0x90,0x8C,
1882       0x60,0x14,0x4B,0x43,0x41,
1883       0x11,0x00,0xFC,0xFF,0x32,0x00
1884 };
1885
1886 UCHAR XGI330_YPbPr525iTiming[] =
1887 {
1888       0x1B,0x21,0x03,0x09,0x05,0x06,0x0C,0x0C,
1889       0x94,0x49,0x01,0x0A,0x06,0x0D,0x04,0x0A,
1890       0x06,0x14,0x0D,0x04,0x0A,0x00,0x85,0x1B,
1891       0x0C,0x50,0x00,0x97,0x00,0xDA,0x4A,0x17,
1892       0x7D,0x05,0x4B,0x00,0x00,0xE2,0x00,0x02,
1893       0x03,0x0A,0x65,0x9D,0x08,
1894       0x92,0x8F,0x40,0x60,0x80,0x14,0x90,0x8C,
1895       0x60,0x14,0x4B,0x00,0x40,
1896       0x44,0x00,0xDB,0x02,0x3B,0x00
1897
1898 };
1899
1900 UCHAR XGI330_HiTVGroup3Data[] =
1901 {
1902       0x00,0x1A,0x22,0x63,0x62,0x22,0x08,0x5F,
1903       0x05,0x21,0xB2,0xB2,0x55,0x77,0x2A,0xA6,
1904       0x25,0x2F,0x47,0xFA,0xC8,0xFF,0x8E,0x20,
1905       0x8C,0x6E,0x60,0x2E,0x58,0x48,0x72,0x44,
1906       0x56,0x36,0x4F,0x6E,0x3F,0x80,0x00,0x80,
1907       0x4F,0x7F,0x03,0xA8,0x7D,0x20,0x1A,0xA9,
1908       0x14,0x05,0x03,0x7E,0x64,0x31,0x14,0x75,
1909       0x18,0x05,0x18,0x05,0x4C,0xA8,0x01
1910 };
1911
1912 UCHAR XGI330_HiTVGroup3Simu[] =
1913 {
1914       0x00,0x1A,0x22,0x63,0x62,0x22,0x08,0x95,
1915       0xDB,0x20,0xB8,0xB8,0x55,0x47,0x2A,0xA6,
1916       0x25,0x2F,0x47,0xFA,0xC8,0xFF,0x8E,0x20,
1917       0x8C,0x6E,0x60,0x15,0x26,0xD3,0xE4,0x11,
1918       0x56,0x36,0x4F,0x6E,0x3F,0x80,0x00,0x80,
1919       0x67,0x36,0x01,0x47,0x0E,0x10,0xBE,0xB4,
1920       0x01,0x05,0x03,0x7E,0x65,0x31,0x14,0x75,
1921       0x18,0x05,0x18,0x05,0x4C,0xA8,0x01
1922 };
1923
1924 UCHAR XGI330_HiTVGroup3Text[] =
1925 {
1926       0x00,0x1A,0x22,0x63,0x62,0x22,0x08,0xA7,
1927       0xF5,0x20,0xCE,0xCE,0x55,0x47,0x2A,0xA6,
1928       0x25,0x2F,0x47,0xFA,0xC8,0xFF,0x8E,0x20,
1929       0x8C,0x6E,0x60,0x18,0x2C,0x0C,0x20,0x22,
1930       0x56,0x36,0x4F,0x6E,0x3F,0x80,0x00,0x80,
1931       0x93,0x3C,0x01,0x50,0x2F,0x10,0xF4,0xCA,
1932       0x01,0x05,0x03,0x7E,0x65,0x31,0x14,0x75,
1933       0x18,0x05,0x18,0x05,0x4C,0xA8,0x01
1934 };
1935
1936 UCHAR XGI330_Ren525pGroup3[] =
1937 {
1938   0x00,0x14,0x15,0x25,0x55,0x15,0x0b,0x13,
1939   0xB1,0x41,0x62,0x62,0xFF,0xF4,0x45,0xa6,
1940   0x25,0x2F,0x67,0xF6,0xbf,0xFF,0x8E,0x20,
1941   0xAC,0xDA,0x60,0xFe,0x6A,0x9A,0x06,0x10,
1942   0xd1,0x04,0x18,0x0a,0xFF,0x80,0x00,0x80,
1943   0x3c,0x77,0x00,0xEF,0xE0,0x10,0xB0,0xE0,
1944   0x10,0x4F,0x0F,0x0F,0x05,0x0F,0x08,0x6E,
1945   0x1a,0x1F,0x25,0x2a,0x4C,0xAA,0x01
1946 };
1947
1948 UCHAR XGI330_Ren750pGroup3[] =
1949 {
1950   0x00,0x14,0x15,0x25,0x55,0x15,0x0b,0x7a,
1951   0x54,0x41,0xE7,0xE7,0xFF,0xF4,0x45,0xa6,
1952   0x25,0x2F,0x67,0xF6,0xbf,0xFF,0x8E,0x20,
1953   0xAC,0x6A,0x60,0x2b,0x52,0xCD,0x61,0x10,
1954   0x51,0x04,0x18,0x0a,0x1F,0x80,0x00,0x80,
1955   0xFF,0xA4,0x04,0x2B,0x94,0x21,0x72,0x94,
1956   0x26,0x05,0x01,0x0F,0xed,0x0F,0x0A,0x64,
1957   0x18,0x1D,0x23,0x28,0x4C,0xAA,0x01
1958 };
1959
1960 XGI_PanelDelayTblStruct XGI330_PanelDelayTbl[]=
1961 {
1962 {{0x00,0x00}},
1963 {{0x00,0x00}},
1964 {{0x00,0x00}},
1965 {{0x00,0x00}},
1966 {{0x00,0x00}},
1967 {{0x00,0x00}},
1968 {{0x00,0x00}},
1969 {{0x00,0x00}},
1970 {{0x00,0x00}},
1971 {{0x00,0x00}},
1972 {{0x00,0x00}},
1973 {{0x00,0x00}},
1974 {{0x00,0x00}},
1975 {{0x00,0x00}},
1976 {{0x00,0x00}},
1977 {{0x00,0x00}}
1978 };
1979
1980 XGI330_LVDSDataStruct  XGI330_LVDS320x480Data_1[]=
1981 {
1982  {848, 433,400,525},
1983  {848, 389,400,525},
1984  {848, 433,400,525},
1985  {848, 389,400,525},
1986  {848, 518,400, 525},
1987  {1056, 628,400,525},
1988  {400, 525,400,525},
1989  {800, 449,1000, 644},
1990  {800, 525,1000, 635}
1991 };
1992
1993 XGI330_LVDSDataStruct  XGI330_LVDS800x600Data_1[]=
1994 {
1995  {848, 433,1060, 629},
1996  {848, 389,1060, 629},
1997  {848, 433,1060, 629},
1998  {848, 389,1060, 629},
1999  {848, 518,1060, 629},
2000  {1056, 628,1056, 628},
2001  {1056, 628,1056, 628},
2002  {800, 449,1000, 644},
2003  {800, 525,1000, 635}
2004 };
2005
2006 XGI330_LVDSDataStruct  XGI330_LVDS800x600Data_2[]=
2007 {
2008  {1056, 628,1056, 628},
2009  {1056, 628,1056, 628},
2010  {1056, 628,1056, 628},
2011  {1056, 628,1056, 628},
2012  {1056, 628,1056, 628},
2013  {1056, 628,1056, 628},
2014  {1056, 628,1056, 628},
2015  {800, 449,1000, 644},
2016  {800, 525,1000, 635}
2017 };
2018
2019 XGI330_LVDSDataStruct  XGI_LVDS1024x768Data_1[]=
2020 {
2021  { 960 , 438 , 1344 , 806 } ,   /* 00 (320x200,320x400,640x200,640x400) */
2022  { 960 , 388 , 1344 , 806 } ,   /* 01 (320x350,640x350) */
2023  { 1040, 438 , 1344 , 806 } ,   /* 02 (360x400,720x400) */
2024  { 1040, 388 , 1344 , 806 } ,   /* 03 (720x350) */
2025  { 960 , 518 , 1344 , 806 } ,   /* 04 (320x240,640x480) */
2026  {1120 , 638 , 1344 , 806 } ,   /* 05 (400x300,800x600) */
2027  {1344 , 806 , 1344 , 806 }     /* 06 (512x384,1024x768) */
2028 };
2029
2030
2031 XGI330_LVDSDataStruct  XGI_LVDS1024x768Data_2[]=
2032 {
2033  {1344, 806,1344, 806},
2034  {1344, 806,1344, 806},
2035  {1344, 806,1344, 806},
2036  {1344, 806,1344, 806},
2037  {1344, 806,1344, 806},
2038  {1344, 806,1344, 806},
2039  {1344, 806,1344, 806},
2040  {800, 449,1280, 801},
2041  {800, 525,1280, 813}
2042 };
2043
2044 XGI330_LVDSDataStruct  XGI_LVDS1280x1024Data_1[]=
2045 {
2046  {1048, 442,1688, 1066},
2047  {1048, 392,1688, 1066},
2048  {1048, 442,1688, 1066},
2049  {1048, 392,1688, 1066},
2050  {1048, 522,1688, 1066},
2051  {1208, 642,1688, 1066},
2052  {1432, 810,1688, 1066},
2053  {1688, 1066,1688, 1066}
2054 };
2055
2056 XGI330_LVDSDataStruct  XGI_LVDS1280x1024Data_2[]=
2057 {
2058  {1344, 806,1344, 806},
2059  {1344, 806,1344, 806},
2060  {1344, 806,1344, 806},
2061  {1344, 806,1344, 806},
2062  {1344, 806,1344, 806},
2063  {1344, 806,1344, 806},
2064  {1344, 806,1344, 806},
2065  {800, 449,1280, 801},
2066  {800, 525,1280, 813}
2067 };
2068 /*
2069 XGI330_LVDSDataStruct  XGI_LVDS1280x768Data_1[]=
2070 {
2071  {768,438,1408,806},
2072  {768,388,1408,806},
2073  {768,438,1408,806},
2074  {768,388,1408,806},
2075  {768,518,1408,806},
2076  {928,638,1408,806},
2077  {1408,806,1408,806},
2078  {1408,806,1408,806},
2079  {1408,806,1408,806}
2080 };
2081
2082 XGI330_LVDSDataStruct  XGI_LVDS1280x768Data_2[]=
2083 {
2084  {1408, 806,1408, 806},
2085  {1408, 806,1408, 806},
2086  {1408, 806,1408, 806},
2087  {1408, 806,1408, 806},
2088  {1408, 806,1408, 806},
2089  {1408, 806,1408, 806},
2090  {1408, 806,1408, 806},
2091  {1408, 806,1408, 806},
2092  {1408, 806,1408, 806}
2093 };
2094
2095 XGI330_LVDSDataStruct  XGI_LVDS1280x768NData_1[]=
2096 {
2097  {704, 438,1344, 806},
2098  {704, 388,1344, 806},
2099  {704, 438,1344, 806},
2100  {704, 388,1344, 806},
2101  {704, 518,1344, 806},
2102  {864, 638,1344, 806},
2103  {1088, 806,1344, 806},
2104  {1344, 806,1344, 806},
2105  {1344, 806,1344, 806}
2106 };
2107
2108 XGI330_LVDSDataStruct  XGI_LVDS1280x768NData_2[]=
2109 {
2110  {1344, 806,1344, 806},
2111  {1344, 806,1344, 806},
2112  {1344, 806,1344, 806},
2113  {1344, 806,1344, 806},
2114  {1344, 806,1344, 806},
2115  {1344, 806,1344, 806},
2116  {1344, 806,1344, 806},
2117  {1344, 806,1344, 806},
2118  {1344, 806,1344, 806}
2119 };
2120
2121 XGI330_LVDSDataStruct  XGI_LVDS1280x768SData_1[]=
2122 {
2123  {1048,438,1688,806},
2124  {1048,388,1688,806},
2125  {1148,438,1688,806},
2126  {1148,388,1688,806},
2127  {1048,518,1688,806},
2128  {1208,638,1688,806},
2129  {1432,806,1688,806},
2130  {1688,806,1688,806},
2131  {1688,806,1688,806}
2132 };
2133
2134 XGI330_LVDSDataStruct  XGI_LVDS1280x768SData_2[]=
2135 {
2136  {1688,806,1688,806},
2137  {1688,806,1688,806},
2138  {1688,806,1688,806},
2139  {1688,806,1688,806},
2140  {1688,806,1688,806},
2141  {1688,806,1688,806},
2142  {1688,806,1688,806},
2143  {1688,806,1688,806},
2144  {1688,806,1688,806}
2145 };
2146 */
2147 XGI330_LVDSDataStruct  XGI_LVDS1400x1050Data_1[]=
2148 {
2149  {928,416,1688,1066},
2150  {928,366,1688,1066},
2151  {928,416,1688,1066},
2152  {928,366,1688,1066},
2153  {928,496,1688,1066},
2154  {1088,616,1688,1066},
2155  {1312,784,1688,1066},
2156  {1568,1040,1688,1066},
2157  {1688,1066,1688,1066}
2158 };
2159
2160 XGI330_LVDSDataStruct  XGI_LVDS1400x1050Data_2[]=
2161 {
2162  {1688,1066,1688,1066},
2163  {1688,1066,1688,1066},
2164  {1688,1066,1688,1066},
2165  {1688,1066,1688,1066},
2166  {1688,1066,1688,1066},
2167  {1688,1066,1688,1066},
2168  {1688,1066,1688,1066},
2169  {1688,1066,1688,1066},
2170  {1688,1066,1688,1066}
2171 };
2172
2173 XGI330_LVDSDataStruct XGI_LVDS1600x1200Data_1[]=
2174 {      /* ;;[ycchen] 12/05/02 LCDHTxLCDVT=2048x1320 */
2175         {        1088,520,2048,1320      },/* 00 (320x200,320x400,640x200,640x400) */
2176         {        1088,470,2048,1320      },/* 01 (320x350,640x350) */
2177         {        1088,520,2048,1320      },/* 02 (360x400,720x400) */
2178         {        1088,470,2048,1320      },/* 03 (720x350) */
2179         {        1088,600,2048,1320      },/* 04 (320x240,640x480) */
2180         {        1248,720,2048,1320      },/* 05 (400x300,800x600) */
2181         {        1472,888,2048,1320      },/* 06 (512x384,1024x768) */
2182         {        1728,1144,2048,1320     },/* 07 (640x512,1280x1024) */
2183         {        1848,1170,2048,1320     },/* 08 (1400x1050) */
2184         {        2048,1320,2048,1320     } /* 09 (1600x1200) */
2185 };
2186
2187 XGI330_LVDSDataStruct XGI_LVDSNoScalingData[]=
2188 {
2189         {        800,449,800,449             }, /* 00 (320x200,320x400,640x200,640x400) */
2190         {        800,449,800,449             }, /* 01 (320x350,640x350) */
2191         {        800,449,800,449             }, /* 02 (360x400,720x400) */
2192         {        800,449,800,449             }, /* 03 (720x350) */
2193         {        800,525,800,525             }, /* 04 (640x480x60Hz) */
2194         {        1056,628,1056,628           }, /* 05 (800x600x60Hz) */
2195         {        1344,806,1344,806           }, /* 06 (1024x768x60Hz) */
2196         {        1688,1066,1688,1066         }, /* 07 (1280x1024x60Hz) */
2197         {        1688,1066,1688,1066         }, /* 08 (1400x1050x60Hz) ;;[ycchen] 12/19/02 */
2198         {        2160,1250,2160,1250         }, /* 09 (1600x1200x60Hz) */
2199         {        1688,806,1688,806           }  /* 0A (1280x768x60Hz) */
2200 };
2201
2202 XGI330_LVDSDataStruct XGI_LVDS1024x768Data_1x75[]=
2203 {
2204         {960,438,1312,800  }, /* 00 (320x200,320x400,640x200,640x400) */
2205         {960,388,1312,800  }, /* 01 (320x350,640x350) */
2206         {1040,438,1312,800 }, /* 02 (360x400,720x400) */
2207         {1040,388,1312,800 }, /* 03 (720x350) */
2208         {928,512,1312,800  }, /* 04 (320x240,640x480) */
2209         {1088,632,1312,800 }, /* 05 (400x300,800x600) */
2210         {1312,800,1312,800 }, /* 06 (512x384,1024x768) */
2211 };
2212
2213
2214 XGI330_LVDSDataStruct XGI_LVDS1024x768Data_2x75[]=
2215 {
2216         {1312,800,1312,800}, /* ; 00 (320x200,320x400,640x200,640x400) */
2217         {1312,800,1312,800}, /* ; 01 (320x350,640x350) */
2218         {1312,800,1312,800}, /* ; 02 (360x400,720x400) */
2219         {1312,800,1312,800}, /* ; 03 (720x350) */
2220         {1312,800,1312,800}, /* ; 04 (320x240,640x480) */
2221         {1312,800,1312,800}, /* ; 05 (400x300,800x600) */
2222         {1312,800,1312,800}, /* ; 06 (512x384,1024x768) */
2223 };
2224
2225 XGI330_LVDSDataStruct XGI_LVDS1280x1024Data_1x75[]=
2226 {
2227         {1048,442,1688,1066  }, /* ; 00 (320x200,320x400,640x200,640x400) */
2228         {1048,392,1688,1066  }, /* ; 01 (320x350,640x350) */
2229         {1128,442,1688,1066  }, /* ; 02 (360x400,720x400) */
2230         {1128,392,1688,1066  }, /* ; 03 (720x350) */
2231         {1048,522,1688,1066  }, /* ; 04 (320x240,640x480) */
2232         {1208,642,1688,1066  }, /* ; 05 (400x300,800x600) */
2233         {1432,810,1688,1066  }, /* ; 06 (512x384,1024x768) */
2234         {1688,1066,1688,1066 }, /* ; 06; 07 (640x512,1280x1024) */
2235 };
2236
2237 XGI330_LVDSDataStruct XGI_LVDS1280x1024Data_2x75[]=
2238 {
2239         {1688,1066,1688,1066 }, /* ; 00 (320x200,320x400,640x200,640x400) */
2240         {1688,1066,1688,1066 }, /* ; 01 (320x350,640x350) */
2241         {1688,1066,1688,1066 }, /* ; 02 (360x400,720x400) */
2242         {1688,1066,1688,1066 }, /* ; 03 (720x350) */
2243         {1688,1066,1688,1066 }, /* ; 04 (320x240,640x480) */
2244         {1688,1066,1688,1066 }, /* ; 05 (400x300,800x600) */
2245         {1688,1066,1688,1066 }, /* ; 06 (512x384,1024x768) */
2246         {1688,1066,1688,1066 }, /* ; 06; 07 (640x512,1280x1024) */
2247 };
2248
2249 XGI330_LVDSDataStruct XGI_LVDSNoScalingDatax75[]=
2250 {
2251         {800,449,800,449     }, /* ; 00 (320x200,320x400,640x200,640x400) */
2252         {800,449,800,449     }, /* ; 01 (320x350,640x350) */
2253         {900,449,900,449     }, /* ; 02 (360x400,720x400) */
2254         {900,449,900,449     }, /* ; 03 (720x350) */
2255         {800,500,800,500     }, /* ; 04 (640x480x75Hz) */
2256         {1056,625,1056,625   }, /* ; 05 (800x600x75Hz) */
2257         {1312,800,1312,800   }, /* ; 06 (1024x768x75Hz) */
2258         {1688,1066,1688,1066 }, /* ; 07 (1280x1024x75Hz) */
2259         {1688,1066,1688,1066 }, /* ; 08 (1400x1050x75Hz) ;;[ycchen] 12/19/02 */
2260         {2160,1250,2160,1250 }, /* ; 09 (1600x1200x75Hz) */
2261         {1688,806,1688,806   }, /* ; 0A (1280x768x75Hz) */
2262 };
2263
2264 XGI330_LVDSDataStruct XGI_LVDS1024x768Des_1[]=
2265 {
2266         {      0,1048,   0, 771     }, /* 00 (320x200,320x400,640x200,640x400) */
2267         {      0,1048,   0, 771     }, /* 01 (320x350,640x350) */
2268         {      0,1048,   0, 771     }, /* 02 (360x400,720x400) */
2269         {      0,1048,   0, 771     }, /* 03 (720x350) */
2270         {      0,1048,   0, 771     }, /* 04 (640x480x60Hz) */
2271         {      0,1048,   0, 771     }, /* 05 (800x600x60Hz) */
2272         {      0,1048, 805, 770     }  /* 06 (1024x768x60Hz) */
2273 } ;
2274
2275 XGI330_LVDSDataStruct XGI_LVDS1024x768Des_2[]=
2276 {
2277         {      1142, 856, 622, 587     }, /* 00 (320x200,320x400,640x200,640x400) */
2278         {      1142, 856, 597, 562     }, /* 01 (320x350,640x350) */
2279         {      1142, 856, 622, 587     }, /* 02 (360x400,720x400) */
2280         {      1142, 856, 597, 562     }, /* 03 (720x350) */
2281         {      1142,1048, 722, 687     }, /* 04 (640x480x60Hz) */
2282         {      1232, 936, 722, 687     }, /* 05 (800x600x60Hz) */
2283         {         0,1048, 805, 771     }  /* 06 (1024x768x60Hz) */
2284 };
2285
2286 XGI330_LVDSDataStruct XGI_LVDS1024x768Des_3[]=
2287 {
2288         {       320,  24, 622, 587     }, /* 00 (320x200,320x400,640x200,640x400) */
2289         {       320,  24, 597, 562     }, /* 01 (320x350,640x350) */
2290         {       320,  24, 622, 587     }, /* 02 (360x400,720x400) */
2291         {       320,  24, 597, 562     }, /* 03 (720x350) */
2292         {       320,  24, 722, 687     }  /* 04 (640x480x60Hz) */
2293 };
2294
2295 XGI330_LVDSDataStruct XGI_LVDS1280x1024Des_1[]=
2296 {
2297         {      0,1328,    0, 1025     }, /* 00 (320x200,320x400,640x200,640x400) */
2298         {      0,1328,    0, 1025     }, /* 01 (320x350,640x350) */
2299         {      0,1328,    0, 1025     }, /* 02 (360x400,720x400) */
2300         {      0,1328,    0, 1025     }, /* 03 (720x350) */
2301         {      0,1328,    0, 1025     }, /* 04 (640x480x60Hz) */
2302         {      0,1328,    0, 1025     }, /* 05 (800x600x60Hz) */
2303         {      0,1328,    0, 1025     }, /* 06 (1024x768x60Hz) */
2304         {      0,1328, 1065, 1024     }  /* 07 (1280x1024x60Hz) */
2305 };
2306
2307  /* The Display setting for DE Mode Panel */
2308 XGI330_LVDSDataStruct XGI_LVDS1280x1024Des_2[]=
2309 {
2310         {      1368,1008,752,711     }, /* 00 (320x200,320x400,640x200,640x400) */
2311         {      1368,1008,729,688     }, /* 01 (320x350,640x350) */
2312         {      1408,1048,752,711     }, /* 02 (360x400,720x400) */
2313         {      1408,1048,729,688     }, /* 03 (720x350) */
2314         {      1368,1008,794,753     }, /* 04 (640x480x60Hz) */
2315         {      1448,1068,854,813     }, /* 05 (800x600x60Hz) */
2316         {      1560,1200,938,897     }, /* 06 (1024x768x60Hz) */
2317         {      0000,1328,0,1025     }  /* 07 (1280x1024x60Hz) */
2318 };
2319
2320 XGI330_LVDSDataStruct XGI_LVDS1400x1050Des_1[]=
2321 {
2322         {      0,1448,0,1051     }, /* 00 (320x200,320x400,640x200,640x400) */
2323         {      0,1448,0,1051     }, /* 01 (320x350,640x350) */
2324         {      0,1448,0,1051     }, /* 02 (360x400,720x400) */
2325         {      0,1448,0,1051     }, /* 03 (720x350) */
2326         {      0,1448,0,1051     }, /* 04 (640x480x60Hz) */
2327         {      0,1448,0,1051     }, /* 05 (800x600x60Hz) */
2328         {      0,1448,0,1051     }, /* 06 (1024x768x60Hz) */
2329         {      0,1448,0,1051     }, /* 07 (1280x1024x60Hz) */
2330         {      0,1448,0,1051     }  /* 08 (1400x1050x60Hz) */
2331 };
2332
2333 XGI330_LVDSDataStruct XGI_LVDS1400x1050Des_2[]=
2334 {
2335         {      1308,1068, 781, 766     }, /* 00 (320x200,320x400,640x200,640x400) */
2336         {      1308,1068, 781, 766     }, /* 01 (320x350,640x350) */
2337         {      1308,1068, 781, 766     }, /* 02 (360x400,720x400) */
2338         {      1308,1068, 781, 766     }, /* 03 (720x350) */
2339         {      1308,1068, 781, 766     }, /* 04 (640x480x60Hz) */
2340         {      1388,1148, 841, 826     }, /* 05 (800x600x60Hz) */
2341         {      1490,1250, 925, 910     }, /* 06 (1024x768x60Hz) */
2342         {      1608,1368,1053,1038     }, /* 07 (1280x1024x60Hz) */
2343         {      0,1448,0,1051     }  /* 08 (1400x1050x60Hz) */
2344 };
2345
2346 XGI330_LVDSDataStruct XGI_LVDS1600x1200Des_1[]=
2347 {
2348         {      0,1664,0,1201     }, /* 00 (320x200,320x400,640x200,640x400) */
2349         {      0,1664,0,1201     }, /* 01 (320x350,640x350) */
2350         {      0,1664,0,1201     }, /* 02 (360x400,720x400) */
2351         {      0,1664,0,1201     }, /* 03 (720x350) */
2352         {      0,1664,0,1201     }, /* 04 (640x480x60Hz) */
2353         {      0,1664,0,1201     }, /* 05 (800x600x60Hz) */
2354         {      0,1664,0,1201     }, /* 06 (1024x768x60Hz) */
2355         {      0,1664,0,1201     }, /* 07 (1280x1024x60Hz) */
2356         {      0,1664,0,1201     }, /* 08 (1400x1050x60Hz) */
2357         {      0,1664,0,1201     }  /* 09 (1600x1200x60Hz) */
2358 };
2359
2360
2361
2362 XGI330_LCDDataDesStruct2  XGI_LVDSNoScalingDesData[]=
2363 {
2364         {     0, 648, 448, 405,  96,   2   }, /* 00 (320x200,320x400,640x200,640x400) */
2365         {     0, 648, 448, 355,  96,   2   }, /* 01 (320x350,640x350) */
2366         {     0, 648, 448, 405,  96,   2   }, /* 02 (360x400,720x400) */
2367         {     0, 648, 448, 355,  96,   2   }, /* 03 (720x350) */
2368         {     0, 648,  1, 483,  96,   2   }, /* 04 (640x480x60Hz) */
2369         {     0, 840, 627, 600, 128,   4   }, /* 05 (800x600x60Hz) */
2370         {     0,1048, 805, 770, 136,   6   }, /* 06 (1024x768x60Hz) */
2371         {     0,1328,0,1025, 112,   3   }, /* 07 (1280x1024x60Hz) */
2372         {     0,1438,0,1051, 112,   3   }, /* 08 (1400x1050x60Hz) ;;[ycchen] 12/19/02 */
2373         {     0,1664,0,1201, 192,   3   }, /* 09 (1600x1200x60Hz) */
2374         {     0,1328,0,0771, 112,   6   }  /* 0A (1280x768x60Hz) */
2375 };
2376
2377 XGI330_LVDSDataStruct XGI_LVDS1024x768Des_1x75[]=                       /* ; 1024x768 Full-screen */
2378 {
2379         {0,1040,0,769}, /* ; 00 (320x200,320x400,640x200,640x400) */
2380         {0,1040,0,769}, /* ; 01 (320x350,640x350) */
2381         {0,1040,0,769}, /* ; 02 (360x400,720x400) */
2382         {0,1040,0,769}, /* ; 03 (720x350) */
2383         {0,1040,0,769}, /* ; 04 (640x480x75Hz) */
2384         {0,1040,0,769}, /* ; 05 (800x600x75Hz) */
2385         {0,1040,0,769} /* ; 06 (1024x768x75Hz) */
2386 };
2387
2388 XGI330_LVDSDataStruct XGI_LVDS1024x768Des_2x75[]= /* ; 1024x768 center-screen (Enh. Mode) */
2389 {
2390         {1142, 856,622,587 }, /* 00 (320x200,320x400,640x200,640x400) */
2391         {1142, 856,597,562 }, /* 01 (320x350,640x350) */
2392         {1142, 856,622,587 }, /* 02 (360x400,720x400) */
2393         {1142, 856,597,562 }, /* 03 (720x350) */
2394         {1142,1048,722,687 }, /* 04 (640x480x60Hz) */
2395         {1232, 936,722,687 }, /* 05 (800x600x60Hz) */
2396         {   0,1048,805,771 }  /* 06 (1024x768x60Hz) */
2397 };
2398
2399 XGI330_LVDSDataStruct XGI_LVDS1024x768Des_3x75[]= /* ; 1024x768 center-screen (St.Mode) */
2400 {
2401         {320,24,622,587  }, /* ; 00 (320x200,320x400,640x200,640x400) */
2402         {320,24,597,562  }, /* ; 01 (320x350,640x350) */
2403         {320,24,622,587  }, /* ; 02 (360x400,720x400) */
2404         {320,24,597,562  }, /* ; 03 (720x350) */
2405         {320,24,722,687  } /* ; 04 (640x480x60Hz) */
2406 };
2407
2408 XGI330_LVDSDataStruct XGI_LVDS1280x1024Des_1x75[]=
2409 {
2410         {0,1296,0,1025}, /* ; 00 (320x200,320x400,640x200,640x400) */
2411         {0,1296,0,1025}, /* ; 01 (320x350,640x350) */
2412         {0,1296,0,1025}, /* ; 02 (360x400,720x400) */
2413         {0,1296,0,1025}, /* ; 03 (720x350) */
2414         {0,1296,0,1025}, /* ; 04 (640x480x75Hz) */
2415         {0,1296,0,1025}, /* ; 05 (800x600x75Hz) */
2416         {0,1296,0,1025}, /* ; 06 (1024x768x75Hz) */
2417         {0,1296,0,1025} /* ; 07 (1280x1024x75Hz) */
2418 };
2419
2420 /* The Display setting for DE Mode Panel */
2421 XGI330_LVDSDataStruct XGI_LVDS1280x1024Des_2x75[]=   /* [ycchen] 02/18/03 Set DE as default */
2422 {
2423         {1368,976,752,711 }, /* ; 00 (320x200,320x400,640x200,640x400) */
2424         {1368,976,729,688 }, /* ; 01 (320x350,640x350) */
2425         {1408,976,752,711 }, /* ; 02 (360x400,720x400) */
2426         {1408,976,729,688 }, /* ; 03 (720x350) */
2427         {1368,976,794,753 }, /* ; 04 (640x480x75Hz) */
2428         {1448,1036,854,813}, /* ; 05 (800x600x75Hz) */
2429         {1560,1168,938,897}, /* ; 06 (1024x768x75Hz) */
2430         {0,1296,0,1025    } /* ; 07 (1280x1024x75Hz) */
2431 };
2432
2433 XGI330_LCDDataDesStruct2 XGI_LVDSNoScalingDesDatax75[]=  /* Scaling LCD 75Hz */
2434 {
2435        { 0,648,448,405,96,2  }, /* ; 00 (320x200,320x400,640x200,640x400) */
2436        { 0,648,448,355,96,2  }, /* ; 01 (320x350,640x350) */
2437        { 0,729,448,405,108,2 }, /* ; 02 (360x400,720x400) */
2438        { 0,729,448,355,108,2 }, /* ; 03 (720x350) */
2439        { 0,656,0,481,64,3    }, /* ; 04 (640x480x75Hz) */
2440        { 0,816,0,601,80,3    }, /* ; 05 (800x600x75Hz) */
2441        { 0,1040,0,769,96,3   }, /* ; 06 (1024x768x75Hz) */
2442        { 0,1296,0,1025,144,3 }, /* ; 07 (1280x1024x75Hz) */
2443        { 0,1448,0,1051,112,3 }, /* ; 08 (1400x1050x75Hz) ;;[ycchen] 12/19/02 */
2444        { 0,1664,0,1201,192,3 }, /* ; 09 (1600x1200x75Hz) */
2445        { 0,1328,0,771,112,6  }  /* ; 0A (1280x768x75Hz) */
2446 };
2447
2448 XGI330_LVDSDataStruct  XGI330_LVDS640x480Data_1[]=
2449 {
2450  {800, 449, 800, 449},
2451  {800, 449, 800, 449},
2452  {800, 449, 800, 449},
2453  {800, 449, 800, 449},
2454  {800, 525, 800, 525},
2455  {1056, 628,1056, 628},
2456  {1056, 628,1056, 628},
2457  {1056, 628,1056, 628},
2458  {1056, 628,1056, 628}
2459 };
2460
2461 XGI330_CHTVDataStruct  XGI_CHTVUNTSCData[]=
2462 {
2463  {840, 600, 840, 600},
2464  {840, 600, 840, 600},
2465  {840, 600, 840, 600},
2466  {840, 600, 840, 600},
2467  {784, 600, 784, 600},
2468  {1064, 750,1064, 750}
2469 };
2470
2471 XGI330_CHTVDataStruct  XGI_CHTVONTSCData[]=
2472 {
2473  {840, 525, 840, 525},
2474  {840, 525, 840, 525},
2475  {840, 525, 840, 525},
2476  {840, 525, 840, 525},
2477  {784, 525, 784, 525},
2478  {1040, 700,1040, 700}
2479 };
2480
2481 XGI330_CHTVDataStruct  XGI_CHTVUPALData[]=
2482 {
2483  {1008, 625,1008, 625},
2484  {1008, 625,1008, 625},
2485  {1008, 625,1008, 625},
2486  {1008, 625,1008, 625},
2487  {840, 750, 840, 750},
2488  {936, 836, 936, 836}
2489 };
2490
2491 XGI330_CHTVDataStruct  XGI_CHTVOPALData[]=
2492 {
2493  {1008, 625,1008, 625},
2494  {1008, 625,1008, 625},
2495  {1008, 625,1008, 625},
2496  {1008, 625,1008, 625},
2497  {840, 625, 840, 625},
2498  {960, 750, 960, 750}
2499 };
2500
2501 XGI_LVDSCRT1HDataStruct  XGI_LVDSCRT11024x768_1_H[]=
2502 {
2503                 /* CR00,CR02,CR03,CR04,CR05,SR0B,SR0C,SR0E */
2504                 {{      0x4B,0x27,0x8F,0x32,0x1B,0x00,0x45,0x00 }}, /* 00 (320x) */
2505                 {{      0x4B,0x27,0x8F,0x2B,0x03,0x00,0x44,0x00 }}, /* 01 (360x) */
2506                 {{      0x55,0x31,0x99,0x46,0x1D,0x00,0x55,0x00 }}, /* 02 (400x) */
2507                 {{      0x63,0x3F,0x87,0x4A,0x93,0x00,0x01,0x00 }}, /* 03 (512x) */
2508                 {{      0x73,0x4F,0x97,0x55,0x86,0x00,0x05,0x00 }}, /* 04 (640x) */
2509                 {{      0x73,0x4F,0x97,0x55,0x86,0x00,0x05,0x00 }}, /* 05 (720x) */
2510                 {{      0x87,0x63,0x8B,0x69,0x1A,0x00,0x26,0x00 }}, /* 06 (800x) */
2511                 {{      0xA3,0x7F,0x87,0x86,0x97,0x00,0x02,0x00 }} /* 07 (1024x) */
2512 };
2513
2514 XGI_LVDSCRT1HDataStruct  XGI_LVDSCRT11280x1024_1_H[]=
2515 {
2516                 /* CR00,CR02,CR03,CR04,CR05,SR0B,SR0C,SR0E */
2517                 {{      0x56,0x27,0x9A,0x30,0x1E,0x00,0x05,0x00 }}, /* 00 (320x) */
2518                 {{      0x56,0x27,0x9A,0x30,0x1E,0x00,0x05,0x00 }}, /* 01 (360x) */
2519                 {{      0x60,0x31,0x84,0x3A,0x88,0x00,0x01,0x00 }}, /* 02 (400x) */
2520                 {{      0x6E,0x3F,0x92,0x48,0x96,0x00,0x01,0x00 }}, /* 03 (512x) */
2521                 {{      0x7E,0x4F,0x82,0x58,0x06,0x00,0x06,0x00 }}, /* 04 (640x) */
2522                 {{      0x7E,0x4F,0x82,0x58,0x06,0x00,0x06,0x00 }}, /* 05 (720x) */
2523                 {{      0x92,0x63,0x96,0x6C,0x1A,0x00,0x06,0x00 }}, /* 06 (800x) */
2524                 {{      0xAE,0x7F,0x92,0x88,0x96,0x00,0x02,0x00 }}, /* 07 (1024x) */
2525                 {{      0xCE,0x9F,0x92,0xA8,0x16,0x00,0x07,0x00 }} /* 08 (1280x) */
2526 };
2527
2528 XGI_LVDSCRT1HDataStruct  XGI_LVDSCRT11024x768_2_H[]=
2529 {
2530                 /* CR00,CR02,CR03,CR04,CR05,SR0B,SR0C,SR0E */
2531                 {{      0x63,0x27,0x87,0x3B,0x8C,0x00,0x01,0x00 }}, /* 00 (320x) */
2532                 {{      0x63,0x27,0x87,0x3B,0x8C,0x00,0x01,0x00 }}, /* 01 (360x) */
2533                 {{      0x63,0x31,0x87,0x3D,0x8E,0x00,0x01,0x00 }}, /* 02 (400x) */
2534                 {{      0x63,0x3F,0x87,0x45,0x96,0x00,0x01,0x00 }}, /* 03 (512x) */
2535                 {{      0xA3,0x4F,0x87,0x6E,0x9F,0x00,0x06,0x00 }}, /* 04 (640x) */
2536                 {{      0xA3,0x4F,0x87,0x6E,0x9F,0x00,0x06,0x00 }}, /* 05 (720x) */
2537                 {{      0xA3,0x63,0x87,0x78,0x89,0x00,0x02,0x00 }}, /* 06 (800x) */
2538                 {{      0xA3,0x7F,0x87,0x86,0x97,0x00,0x02,0x00 }} /* 07 (1024x) */
2539 };
2540
2541 XGI_LVDSCRT1HDataStruct  XGI_LVDSCRT11280x1024_2_H[]=
2542 {
2543                 /* CR00,CR02,CR03,CR04,CR05,SR0B,SR0C,SR0E */
2544                 {{      0x7E,0x3B,0x9A,0x44,0x12,0x00,0x01,0x00 }}, /* 00 (320x) */
2545                 {{      0x7E,0x3B,0x9A,0x44,0x12,0x00,0x01,0x00 }}, /* 01 (360x) */
2546                 {{      0x7E,0x40,0x84,0x49,0x91,0x00,0x01,0x00 }}, /* 02 (400x) */
2547                 {{      0x7E,0x47,0x93,0x50,0x9E,0x00,0x01,0x00 }}, /* 03 (512x) */
2548                 {{      0xCE,0x77,0x8A,0x80,0x8E,0x00,0x02,0x00 }}, /* 04 (640x) */
2549                 {{      0xCE,0x77,0x8A,0x80,0x8E,0x00,0x02,0x00 }}, /* 05 (720x) */
2550                 {{      0xCE,0x81,0x94,0x8A,0x98,0x00,0x02,0x00 }}, /* 06 (800x) */
2551                 {{      0xCE,0x8F,0x82,0x98,0x06,0x00,0x07,0x00 }}, /* 07 (1024x) */
2552                 {{      0xCE,0x9F,0x92,0xA8,0x16,0x00,0x07,0x00 }} /* 08 (1280x) */
2553 };
2554
2555 XGI_LVDSCRT1HDataStruct XGI_LVDSCRT11400x1050_1_H[]=
2556 {               /* CR00,CR02,CR03,CR04,CR05,SR0B,SR0C,SR0E */
2557                 {{      0x47,0x27,0x8B,0x2C,0x1A,0x00,0x05,0x00 }}, /* 00 (320x) */
2558                 {{      0x47,0x27,0x8B,0x30,0x1E,0x00,0x05,0x00 }}, /* 01 (360x) */
2559                 {{      0x51,0x31,0x95,0x36,0x04,0x00,0x01,0x00 }}, /* 02 (400x) */
2560                 {{      0x5F,0x3F,0x83,0x44,0x92,0x00,0x01,0x00 }}, /* 03 (512x) */
2561                 {{      0x6F,0x4F,0x93,0x54,0x82,0x00,0x05,0x00 }}, /* 04 (640x) */
2562                 {{      0x6F,0x4F,0x93,0x54,0x82,0x00,0x05,0x00 }}, /* 05 (720x) */
2563                 {{      0x83,0x63,0x87,0x68,0x16,0x00,0x06,0x00 }}, /* 06 (800x) */
2564                 {{      0x9F,0x7F,0x83,0x84,0x92,0x00,0x02,0x00 }}, /* 07 (1024x) */
2565                 {{      0xBF,0x9F,0x83,0xA4,0x12,0x00,0x07,0x00 }}, /* 08 (1280x) */
2566                 {{      0xCE,0xAE,0x92,0xB3,0x01,0x00,0x03,0x00 }} /* 09 (1400x) */
2567 };
2568
2569 XGI_LVDSCRT1HDataStruct XGI_LVDSCRT11400x1050_2_H[]=
2570 {               /* CR00,CR02,CR03,CR04,CR05,SR0B,SR0C,SR0E */
2571                 {{      0x76,0x3F,0x83,0x45,0x8C,0x00,0x41,0x00 }}, /* 00 (320x) */
2572                 {{      0x76,0x3F,0x83,0x45,0x8C,0x00,0x41,0x00 }}, /* 01 (360x) */
2573                 {{      0x76,0x31,0x9A,0x48,0x9F,0x00,0x41,0x00 }}, /* 02 (400x) */
2574                 {{      0x76,0x3F,0x9A,0x4F,0x96,0x00,0x41,0x00 }}, /* 03 (512x) */
2575                 {{      0xCE,0x7E,0x82,0x87,0x9E,0x00,0x02,0x00 }}, /* 04 (640x) */
2576                 {{      0xCE,0x7E,0x82,0x87,0x9E,0x00,0x02,0x00 }}, /* 05 (720x) */
2577                 {{      0xCE,0x63,0x92,0x96,0x04,0x00,0x07,0x00 }}, /* 06 (800x) */
2578                 {{      0xCE,0x7F,0x92,0xA4,0x12,0x00,0x07,0x00 }}, /* 07 (1024x) */
2579                 {{      0xCE,0x9F,0x92,0xB4,0x02,0x00,0x03,0x00 }}, /* 08 (1280x) */
2580                 {{      0xCE,0xAE,0x92,0xBC,0x0A,0x00,0x03,0x00 }} /* 09 (1400x) */
2581 };
2582
2583 XGI_LVDSCRT1HDataStruct XGI_LVDSCRT11600x1200_1_H[]=
2584 /* ;302lv channelA [ycchen] 12/05/02 LCDHT=2048 */
2585 {   /* ; CR00,CR02,CR03,CR04,CR05,SR0B,SR0C,SR0E */
2586                 {{      0x5B,0x27,0x9F,0x32,0x0A,0x00,0x01,0x00 }},/* 00 (320x) */
2587                 {{      0x5B,0x27,0x9F,0x32,0x0A,0x00,0x01,0x00 }},/* 01 (360x) */
2588                 {{      0x65,0x31,0x89,0x3C,0x94,0x00,0x01,0x00 }},/* 02 (400x) */
2589                 {{      0x73,0x3F,0x97,0x4A,0x82,0x00,0x05,0x00 }},/* 03 (512x) */
2590                 {{      0x83,0x4F,0x87,0x51,0x09,0x00,0x06,0x00 }},/* 04 (640x) */
2591                 {{      0x83,0x4F,0x87,0x51,0x09,0x00,0x06,0x00 }},/* 05 (720x) */
2592                 {{      0x97,0x63,0x9B,0x65,0x1D,0x00,0x06,0xF0 }},/* 06 (800x) */
2593                 {{      0xB3,0x7F,0x97,0x81,0x99,0x00,0x02,0x00 }},/* 07 (1024x) */
2594                 {{      0xD3,0x9F,0x97,0xA1,0x19,0x00,0x07,0x00 }},/* 08 (1280x) */
2595                 {{      0xE2,0xAE,0x86,0xB9,0x91,0x00,0x03,0x00 }},/* 09 (1400x) */
2596                 {{      0xFB,0xC7,0x9F,0xC9,0x81,0x00,0x07,0x00 }} /* 0A (1600x) */
2597 };
2598
2599 XGI_LVDSCRT1VDataStruct XGI_LVDSCRT11024x768_1_V[]=
2600 {               /* CR06,CR07,CR10,CR11,CR15,CR16,SR0A+CR09(5->7) */
2601                 {{      0x97,0x1F,0x60,0x87,0x5D,0x83,0x10      }}, /* 00 (x350) */
2602                 {{      0xB4,0x1F,0x92,0x89,0x8F,0xB5,0x30      }}, /* 01 (x400) */
2603                 {{      0x04,0x3E,0xE2,0x89,0xDF,0x05,0x00      }}, /* 02 (x480) */
2604                 {{      0x7C,0xF0,0x5A,0x8F,0x57,0x7D,0xA0      }}, /* 03 (x600) */
2605                 {{      0x24,0xF5,0x02,0x88,0xFF,0x25,0x90      }} /* 04 (x768) */
2606 };
2607
2608 XGI_LVDSCRT1VDataStruct XGI_LVDSCRT11024x768_2_V[]=
2609 {               /* CR06,CR07,CR10,CR11,CR15,CR16,SR0A */
2610                 {{      0x24,0xBB,0x31,0x87,0x5D,0x25,0x30      }}, /* 00 (x350) */
2611                 {{      0x24,0xBB,0x4A,0x80,0x8F,0x25,0x30      }}, /* 01 (x400) */
2612                 {{      0x24,0xBB,0x72,0x88,0xDF,0x25,0x30      }}, /* 02 (x480) */
2613                 {{      0x24,0xF1,0xAE,0x84,0x57,0x25,0xB0      }}, /* 03 (x600) */
2614                 {{      0x24,0xF5,0x02,0x88,0xFF,0x25,0x90      }} /* 04 (x768) */
2615 };
2616
2617 XGI_LVDSCRT1VDataStruct XGI_LVDSCRT11280x1024_1_V[]=
2618 {               /* CR06,CR07,CR10,CR11,CR15,CR16,SR0A */
2619                 {{       0x86,0x1F,0x5E,0x82,0x5D,0x87,0x00     }}, /* 00 (x350) */
2620                 {{       0xB8,0x1F,0x90,0x84,0x8F,0xB9,0x30     }}, /* 01 (x400) */
2621                 {{       0x08,0x3E,0xE0,0x84,0xDF,0x09,0x00     }}, /* 02 (x480) */
2622                 {{       0x80,0xF0,0x58,0x8C,0x57,0x81,0xA0     }}, /* 03 (x600) */
2623                 {{       0x28,0xF5,0x00,0x84,0xFF,0x29,0x90     }}, /* 04 (x768) */
2624                 {{       0x28,0x5A,0x13,0x87,0xFF,0x29,0xA9     }} /* 05 (x1024) */
2625 };
2626
2627 XGI_LVDSCRT1VDataStruct XGI_LVDSCRT11280x1024_2_V[]=
2628 {               /* CR06,CR07,CR10,CR11,CR15,CR16,SR0A */
2629                 {{      0x28,0xD2,0xAF,0x83,0xAE,0xD8,0xA1      }}, /* 00 (x350) */
2630                 {{      0x28,0xD2,0xC8,0x8C,0xC7,0xF2,0x81      }}, /* 01 (x400) */
2631                 {{      0x28,0xD2,0xF0,0x84,0xEF,0x1A,0xB1      }}, /* 02 (x480) */
2632                 {{      0x28,0xDE,0x2C,0x8F,0x2B,0x56,0x91      }}, /* 03 (x600) */
2633                 {{      0x28,0xDE,0x80,0x83,0x7F,0xAA,0x91      }}, /* 04 (x768) */
2634                 {{      0x28,0x5A,0x13,0x87,0xFF,0x29,0xA9      }} /* 05 (x1024) */
2635 };
2636
2637 XGI_LVDSCRT1VDataStruct XGI_LVDSCRT11400x1050_1_V[]=
2638 {               /* CR06,CR07,CR10,CR11,CR15,CR16,SR0A */
2639                 {{      0x6C,0x1F,0x60,0x84,0x5D,0x6D,0x10      }}, /* 00 (x350) */
2640                 {{      0x9E,0x1F,0x93,0x86,0x8F,0x9F,0x30      }}, /* 01 (x400) */
2641                 {{      0xEE,0x1F,0xE2,0x86,0xDF,0xEF,0x10      }}, /* 02 (x480) */
2642                 {{      0x66,0xF0,0x5A,0x8e,0x57,0x67,0xA0      }}, /* 03 (x600) */
2643                 {{      0x0E,0xF5,0x02,0x86,0xFF,0x0F,0x90      }}, /* 04 (x768) */
2644                 {{      0x0E,0x5A,0x02,0x86,0xFF,0x0F,0x89      }}, /* 05 (x1024) */
2645                 {{      0x28,0x10,0x1A,0x80,0x19,0x29,0x0F      }} /* 06 (x1050) */
2646 };
2647
2648 XGI_LVDSCRT1VDataStruct XGI_LVDSCRT11400x1050_2_V[]=
2649 {              /* CR06,CR07,CR10,CR11,CR15,CR16,SR0A */
2650                 {{      0x28,0x92,0xB6,0x83,0xB5,0xCF,0x81      }}, /* 00 (x350) */
2651                 {{      0x28,0x92,0xD5,0x82,0xD4,0xEE,0x81      }}, /* 01 (x400) */
2652                 {{      0x28,0x92,0xFD,0x8A,0xFC,0x16,0xB1      }}, /* 02 (x480) */
2653                 {{      0x28,0xD4,0x39,0x86,0x57,0x29,0x81      }}, /* 03 (x600) */
2654                 {{      0x28,0xD4,0x8D,0x9A,0xFF,0x29,0xA1      }}, /* 04 (x768) */
2655                 {{      0x28,0x5A,0x0D,0x9A,0xFF,0x29,0xA9      }}, /* 05 (x1024) */
2656                 {{      0x28,0x10,0x1A,0x87,0x19,0x29,0x8F      }} /* 06 (x1050) */
2657 };
2658
2659 XGI_LVDSCRT1VDataStruct XGI_LVDSCRT11600x1200_1_V[]=
2660 {
2661                /* CR06,CR07,CR10,CR11,CR15,CR16,SR0A+CR09(5->7) */
2662                 {{      0xd4,0x1F,0x81,0x84,0x5D,0xd5,0x10      }}, /* 00 (x350) */
2663                 {{      0x06,0x3e,0xb3,0x86,0x8F,0x07,0x20      }}, /* 01 (x400) */
2664                 {{      0x56,0xba,0x03,0x86,0xDF,0x57,0x00      }}, /* 02 (x480) */
2665                 {{      0xce,0xF0,0x7b,0x8e,0x57,0xcf,0xa0      }}, /* 03 (x600) */
2666                 {{      0x76,0xF5,0x23,0x86,0xFF,0x77,0x90      }}, /* 04 (x768) */
2667                 {{      0x76,0x5A,0x23,0x86,0xFF,0x77,0x89      }}, /* 05 (x1024) */
2668                 {{      0x90,0x10,0x1A,0x8E,0x19,0x91,0x2F      }}, /* 06 (x1050) */
2669                 {{      0x26,0x11,0xd3,0x86,0xaF,0x27,0x3f      }} /* 07 (x1200) */
2670 };
2671
2672 XGI_LVDSCRT1HDataStruct XGI_LVDSCRT11024x768_1_Hx75[]=
2673 {       /* CR00,CR02,CR03,CR04,CR05,SR0B,SR0C,SR0E */
2674     {{      0x4B,0x27,0x8F,0x32,0x1B,0x00,0x45,0x00 }},/* ; 00 (320x) */
2675     {{      0x4B,0x27,0x8F,0x2B,0x03,0x00,0x44,0x00 }},/* ; 01 (360x) */
2676     {{      0x55,0x31,0x99,0x46,0x1D,0x00,0x55,0x00 }},/* ; 02 (400x) */
2677     {{      0x63,0x3F,0x87,0x4A,0x93,0x00,0x01,0x00 }},/* ; 03 (512x) */
2678     {{      0x6F,0x4F,0x93,0x54,0x80,0x00,0x05,0x00 }},/* ; 04 (640x) */
2679     {{      0x6F,0x4F,0x93,0x54,0x80,0x00,0x05,0x00 }},/* ; 05 (720x) */
2680     {{      0x83,0x63,0x87,0x68,0x14,0x00,0x26,0x00 }},/* ; 06 (800x) */
2681     {{      0x9F,0x7F,0x83,0x85,0x91,0x00,0x02,0x00 }} /* ; 07 (1024x) */
2682 };
2683
2684 XGI_LVDSCRT1VDataStruct XGI_LVDSCRT11024x768_1_Vx75[]=
2685 {       /* CR06,CR07,CR10,CR11,CR15,CR16,SR0A+CR09(5->7) */
2686     {{      0x97,0x1F,0x60,0x87,0x5D,0x83,0x10      }},/* ; 00 (x350) */
2687     {{      0xB4,0x1F,0x92,0x89,0x8F,0xB5,0x30      }},/* ; 01 (x400) */
2688     {{      0xFE,0x1F,0xE0,0x84,0xDF,0xFF,0x10      }},/* ; 02 (x480) */
2689     {{      0x76,0xF0,0x58,0x8C,0x57,0x77,0xA0      }},/* ; 03 (x600) */
2690     {{      0x1E,0xF5,0x00,0x83,0xFF,0x1F,0x90      }} /* ; 04 (x768) */
2691 };
2692
2693 XGI_LVDSCRT1HDataStruct XGI_LVDSCRT11024x768_2_Hx75[]=
2694 {       /* CR00,CR02,CR03,CR04,CR05,SR0B,SR0C,SR0E */
2695     {{      0x63,0x27,0x87,0x3B,0x8C,0x00,0x01,0x00 }},/* ; 00 (320x) */
2696     {{      0x63,0x27,0x87,0x3B,0x8C,0x00,0x01,0x00 }},/* ; 01 (360x) */
2697     {{      0x63,0x31,0x87,0x3D,0x8E,0x00,0x01,0x00 }},/* ; 02 (400x) */
2698     {{      0x63,0x3F,0x87,0x45,0x96,0x00,0x01,0x00 }},/* ; 03 (512x) */
2699     {{      0xA3,0x4F,0x87,0x6E,0x9F,0x00,0x06,0x00 }},/* ; 04 (640x) */
2700     {{      0xA3,0x4F,0x87,0x6E,0x9F,0x00,0x06,0x00 }},/* ; 05 (720x) */
2701     {{      0xA3,0x63,0x87,0x78,0x89,0x00,0x02,0x00 }},/* ; 06 (800x) */
2702     {{      0xA3,0x7F,0x87,0x86,0x97,0x00,0x02,0x00 }} /* ; 07 (1024x) */
2703 };
2704
2705 XGI_LVDSCRT1VDataStruct XGI_LVDSCRT11024x768_2_Vx75[]=
2706 {       /* CR06,CR07,CR10,CR11,CR15,CR16,SR0A */
2707     {{      0x24,0xBB,0x31,0x87,0x5D,0x25,0x30      }},/* ; 00 (x350) */
2708     {{      0x24,0xBB,0x4A,0x80,0x8F,0x25,0x30      }},/* ; 01 (x400) */
2709     {{      0x24,0xBB,0x72,0x88,0xDF,0x25,0x30      }},/* ; 02 (x480) */
2710     {{      0x24,0xF1,0xAE,0x84,0x57,0x25,0xB0      }},/* ; 03 (x600) */
2711     {{      0x24,0xF5,0x02,0x88,0xFF,0x25,0x90      }} /* ; 04 (x768) */
2712 };
2713
2714 XGI_LVDSCRT1HDataStruct XGI_LVDSCRT11280x1024_1_Hx75[]=
2715 {      /* CR00,CR02,CR03,CR04,CR05,SR0B,SR0C,SR0E */
2716     {{      0x56,0x27,0x9A,0x30,0x1E,0x00,0x05,0x00 }},/* ; 00 (320x) */
2717     {{      0x56,0x27,0x9A,0x30,0x1E,0x00,0x05,0x00 }},/* ; 01 (360x) */
2718     {{      0x60,0x31,0x84,0x3A,0x88,0x00,0x01,0x00 }},/* ; 02 (400x) */
2719     {{      0x6E,0x3F,0x92,0x48,0x96,0x00,0x01,0x00 }},/* ; 03 (512x) */
2720     {{      0x7E,0x4F,0x82,0x54,0x06,0x00,0x06,0x00 }},/* ; 04 (640x) */
2721     {{      0x7E,0x4F,0x82,0x54,0x06,0x00,0x06,0x00 }},/* ; 05 (720x) */
2722     {{      0x92,0x63,0x96,0x68,0x1A,0x00,0x06,0x00 }},/* ; 06 (800x) */
2723     {{      0xAE,0x7F,0x92,0x84,0x96,0x00,0x02,0x00 }},/* ; 07 (1024x) */
2724     {{      0xCE,0x9F,0x92,0xA5,0x17,0x00,0x07,0x00 }} /* ; 08 (1280x) */
2725 };
2726
2727 XGI_LVDSCRT1VDataStruct XGI_LVDSCRT11280x1024_1_Vx75[]=
2728 {       /* CR06,CR07,CR10,CR11,CR15,CR16,SR0A */
2729     {{      0x86,0xD1,0xBC,0x80,0xBB,0xE5,0x00      }},/* ; 00 (x350) */
2730     {{      0xB8,0x1F,0x90,0x84,0x8F,0xB9,0x30      }},/* ; 01 (x400) */
2731     {{      0x08,0x3E,0xE0,0x84,0xDF,0x09,0x00      }},/* ; 02 (x480) */
2732     {{      0x80,0xF0,0x58,0x8C,0x57,0x81,0xA0      }},/* ; 03 (x600) */
2733     {{      0x28,0xF5,0x00,0x84,0xFF,0x29,0x90      }},/* ; 04 (x768) */
2734     {{      0x28,0x5A,0x13,0x87,0xFF,0x29,0xA9      }} /* ; 05 (x1024) */
2735 };
2736
2737 XGI_LVDSCRT1HDataStruct XGI_LVDSCRT11280x1024_2_Hx75[]=
2738 {
2739         /* CR00,CR02,CR03,CR04,CR05,SR0B,SR0C,SR0E */
2740     {{      0x7E,0x3B,0x9A,0x44,0x12,0x00,0x01,0x00 }},/* ; 00 (320x) */
2741     {{      0x7E,0x3B,0x9A,0x44,0x12,0x00,0x01,0x00 }},/* ; 01 (360x) */
2742     {{      0x7E,0x40,0x84,0x49,0x91,0x00,0x01,0x00 }},/* ; 02 (400x) */
2743     {{      0x7E,0x47,0x93,0x50,0x9E,0x00,0x01,0x00 }},/* ; 03 (512x) */
2744     {{      0xCE,0x77,0x8A,0x80,0x8E,0x00,0x02,0x00 }},/* ; 04 (640x) */
2745     {{      0xCE,0x77,0x8A,0x80,0x8E,0x00,0x02,0x00 }},/* ; 05 (720x) */
2746     {{      0xCE,0x81,0x94,0x8A,0x98,0x00,0x02,0x00 }},/* ; 06 (800x) */
2747     {{      0xCE,0x8F,0x82,0x98,0x06,0x00,0x07,0x00 }},/* ; 07 (1024x) */
2748     {{      0xCE,0x9F,0x92,0xA8,0x16,0x00,0x07,0x00 }} /* ; 08 (1280x) */
2749 };
2750
2751 XGI_LVDSCRT1VDataStruct XGI_LVDSCRT11280x1024_2_Vx75[]=
2752 {
2753         /* CR06,CR07,CR10,CR11,CR15,CR16,SR0A */
2754      {{     0x28,0xD2,0xAF,0x83,0xAE,0xD8,0xA1     }},/* ; 00 (x350) */
2755      {{     0x28,0xD2,0xC8,0x8C,0xC7,0xF2,0x81     }},/* ; 01 (x400) */
2756      {{     0x28,0xD2,0xF0,0x84,0xEF,0x1A,0xB1     }},/* ; 02 (x480) */
2757      {{     0x28,0xDE,0x2C,0x8F,0x2B,0x56,0x91     }},/* ; 03 (x600) */
2758      {{     0x28,0xDE,0x80,0x83,0x7F,0xAA,0x91     }},/* ; 04 (x768) */
2759      {{     0x28,0x5A,0x13,0x87,0xFF,0x29,0xA9     }} /* ; 05 (x1024) */
2760 };
2761
2762 XGI_LVDSCRT1DataStruct  XGI_CHTVCRT1UNTSC[]=
2763 {
2764  {{0x64,0x4f,0x88,0x56,0x9f,0x56,0x3e,
2765     0xe8,0x84,0x8f,0x57,0x20,0x00,0x01,0x00 }},
2766  {{0x64,0x4f,0x88,0x56,0x9f,0x56,0x3e,
2767     0xd0,0x82,0x5d,0x57,0x00,0x00,0x01,0x00 }},
2768  {{0x64,0x4f,0x88,0x56,0x9f,0x56,0x3e,
2769     0xe8,0x84,0x8f,0x57,0x20,0x00,0x01,0x00 }},
2770  {{0x64,0x4f,0x88,0x56,0x9f,0x56,0x3e,
2771     0xd0,0x82,0x5d,0x57,0x00,0x00,0x01,0x00 }},
2772  {{0x5d,0x4f,0x81,0x53,0x9c,0x56,0xba,
2773     0x18,0x84,0xdf,0x57,0x00,0x00,0x01,0x00 }},
2774  {{0x80,0x63,0x84,0x6c,0x17,0xec,0xf0,
2775    0x90,0x8c,0x57,0xed,0x20,0x00,0x06,0x01 }}
2776 };
2777
2778 XGI_LVDSCRT1DataStruct  XGI_CHTVCRT1ONTSC[]=
2779 {
2780  {{0x64,0x4f,0x88,0x5a,0x9f,0x0b,0x3e,
2781     0xc0,0x84,0x8f,0x0c,0x20,0x00,0x01,0x00 }},
2782  {{0x64,0x4f,0x88,0x5a,0x9f,0x0b,0x3e,
2783     0xb0,0x8d,0x5d,0x0c,0x00,0x00,0x01,0x00 }},
2784  {{0x64,0x4f,0x88,0x5a,0x9f,0x0b,0x3e,
2785     0xc0,0x84,0x8f,0x0c,0x20,0x00,0x01,0x00 }},
2786  {{0x64,0x4f,0x88,0x5a,0x9f,0x0b,0x3e,
2787     0xb0,0x8d,0x5d,0x0c,0x00,0x00,0x01,0x00 }},
2788  {{0x5d,0x4f,0x81,0x56,0x9c,0x0b,0x3e,
2789     0xe8,0x84,0xdf,0x0c,0x00,0x00,0x01,0x00 }},
2790  {{0x7d,0x63,0x81,0x6a,0x16,0xba,0xf0,
2791    0x7f,0x86,0x57,0xbb,0x00,0x00,0x06,0x01 }}
2792 };
2793
2794 XGI_LVDSCRT1DataStruct  XGI_CHTVCRT1UPAL[]=
2795 {
2796  {{0x79,0x4f,0x9d,0x5a,0x90,0x6f,0x3e,
2797     0xf8,0x83,0x8f,0x70,0x20,0x00,0x05,0x00 }},
2798  {{0x79,0x4f,0x9d,0x5a,0x90,0x6f,0x3e,
2799     0xde,0x81,0x5d,0x70,0x00,0x00,0x05,0x00 }},
2800  {{0x79,0x4f,0x9d,0x5a,0x90,0x6f,0x3e,
2801     0xf8,0x83,0x8f,0x70,0x20,0x00,0x05,0x00 }},
2802  {{0x79,0x4f,0x9d,0x5a,0x90,0x6f,0x3e,
2803     0xde,0x81,0x5d,0x70,0x00,0x00,0x05,0x00 }},
2804  {{0x64,0x4f,0x88,0x55,0x80,0xec,0xba,
2805     0x50,0x84,0xdf,0xed,0x00,0x00,0x05,0x00 }},
2806  {{0x70,0x63,0x94,0x68,0x8d,0x42,0xf1,
2807    0xc8,0x8c,0x57,0xe9,0x20,0x00,0x05,0x01 }}
2808 };
2809
2810 XGI_LVDSCRT1DataStruct  XGI_CHTVCRT1OPAL[]=
2811 {
2812  {{0x79,0x4f,0x9d,0x5a,0x90,0x6f,0x3e,
2813     0xf0,0x83,0x8f,0x70,0x20,0x00,0x05,0x00 }},
2814  {{0x79,0x4f,0x9d,0x5a,0x90,0x6f,0x3e,
2815     0xde,0x81,0x5d,0x70,0x00,0x00,0x05,0x00 }},
2816  {{0x79,0x4f,0x9d,0x5a,0x90,0x6f,0x3e,
2817     0xf0,0x83,0x8f,0x70,0x20,0x00,0x05,0x00 }},
2818  {{0x79,0x4f,0x9d,0x5a,0x90,0x6f,0x3e,
2819     0xde,0x81,0x5d,0x70,0x00,0x00,0x05,0x00 }},
2820  {{0x64,0x4f,0x88,0x55,0x80,0x6f,0xba,
2821     0x20,0x83,0xdf,0x70,0x00,0x00,0x05,0x00 }},
2822  {{0x73,0x63,0x97,0x69,0x8e,0xec,0xf0,
2823    0x90,0x8c,0x57,0xed,0x20,0x00,0x05,0x01 }}
2824 };
2825
2826 /*add for new UNIVGABIOS*/
2827 XGI330_LCDDataTablStruct XGI_LCDDataTable[]=
2828 {
2829   {Panel1024x768,0x0019,0x0001,0},  /* XGI_ExtLCD1024x768Data */
2830   {Panel1024x768,0x0019,0x0000,1},  /* XGI_StLCD1024x768Data */
2831   {Panel1024x768,0x0018,0x0010,2},  /* XGI_CetLCD1024x768Data */
2832   {Panel1280x1024,0x0019,0x0001,3},  /* XGI_ExtLCD1280x1024Data */
2833   {Panel1280x1024,0x0019,0x0000,4},  /* XGI_StLCD1280x1024Data */
2834   {Panel1280x1024,0x0018,0x0010,5},  /* XGI_CetLCD1280x1024Data */
2835   {Panel1400x1050,0x0019,0x0001,6},  /* XGI_ExtLCD1400x1050Data */
2836   {Panel1400x1050,0x0019,0x0000,7},  /* XGI_StLCD1400x1050Data */
2837   {Panel1400x1050,0x0018,0x0010,8},  /* XGI_CetLCD1400x1050Data */
2838   {Panel1600x1200,0x0019,0x0001,9},   /* XGI_ExtLCD1600x1200Data */
2839   {Panel1600x1200,0x0019,0x0000,10},  /* XGI_StLCD1600x1200Data */
2840   {PanelRef60Hz,0x0008,0x0008,11},      /* XGI_NoScalingData */
2841   {Panel1024x768x75,0x0019,0x0001,12},  /* XGI_ExtLCD1024x768x75Data */
2842   {Panel1024x768x75,0x0019,0x0000,13},  /* XGI_StLCD1024x768x75Data */
2843   {Panel1024x768x75,0x0018,0x0010,14},  /* XGI_CetLCD1024x768x75Data */
2844   {Panel1280x1024x75,0x0019,0x0001,15}, /* XGI_ExtLCD1280x1024x75Data */
2845   {Panel1280x1024x75,0x0019,0x0000,16}, /* XGI_StLCD1280x1024x75Data */
2846   {Panel1280x1024x75,0x0018,0x0010,17}, /* XGI_CetLCD1280x1024x75Data */
2847   {PanelRef75Hz,0x0008,0x0008,18},      /* XGI_NoScalingDatax75 */
2848   {0xFF,0x0000,0x0000,0}                /* End of table */
2849 };
2850
2851 XGI330_LCDDataTablStruct XGI_LCDDesDataTable[]=
2852 {
2853   {Panel1024x768,0x0019,0x0001,0}, /* XGI_ExtLCDDes1024x768Data */
2854   {Panel1024x768,0x0019,0x0000,1}, /* XGI_StLCDDes1024x768Data */
2855   {Panel1024x768,0x0018,0x0010,2}, /* XGI_CetLCDDes1024x768Data */
2856   {Panel1280x1024,0x0019,0x0001,3}, /* XGI_ExtLCDDes1280x1024Data */
2857   {Panel1280x1024,0x0019,0x0000,4}, /* XGI_StLCDDes1280x1024Data */
2858   {Panel1280x1024,0x0018,0x0010,5}, /* XGI_CetLCDDes1280x1024Data */
2859   {Panel1400x1050,0x0019,0x0001,6}, /* XGI_ExtLCDDes1400x1050Data */
2860   {Panel1400x1050,0x0019,0x0000,7}, /* XGI_StLCDDes1400x1050Data */
2861   {Panel1400x1050,0x0418,0x0010,8}, /* XGI_CetLCDDes1400x1050Data */
2862   {Panel1400x1050,0x0418,0x0410,9}, /* XGI_CetLCDDes1400x1050Data2 */
2863   {Panel1600x1200,0x0019,0x0001,10}, /* XGI_ExtLCDDes1600x1200Data */
2864   {Panel1600x1200,0x0019,0x0000,11}, /* XGI_StLCDDes1600x1200Data */
2865   {PanelRef60Hz,0x0008,0x0008,12},      /* XGI_NoScalingDesData */
2866   {Panel1024x768x75,0x0019,0x0001,13},  /* XGI_ExtLCDDes1024x768x75Data */
2867   {Panel1024x768x75,0x0019,0x0000,14},  /* XGI_StLCDDes1024x768x75Data */
2868   {Panel1024x768x75,0x0018,0x0010,15},  /* XGI_CetLCDDes1024x768x75Data */
2869   {Panel1280x1024x75,0x0019,0x0001,16}, /* XGI_ExtLCDDes1280x1024x75Data */
2870   {Panel1280x1024x75,0x0019,0x0000,17}, /* XGI_StLCDDes1280x1024x75Data */
2871   {Panel1280x1024x75,0x0018,0x0010,18}, /* XGI_CetLCDDes1280x1024x75Data */
2872   {PanelRef75Hz,0x0008,0x0008,19},      /* XGI_NoScalingDesDatax75 */
2873   {0xFF,0x0000,0x0000,0}
2874 };
2875
2876 XGI330_LCDDataTablStruct XGI_EPLLCDCRT1Ptr_H[]=
2877 {
2878   {Panel1024x768,0x0018,0x0000,0}, /* XGI_LVDSCRT11024x768_1_H */
2879   {Panel1024x768,0x0018,0x0010,1}, /* XGI_LVDSCRT11024x768_2_H */
2880   {Panel1280x1024,0x0018,0x0000,2}, /* XGI_LVDSCRT11280x1024_1_H */
2881   {Panel1280x1024,0x0018,0x0010,3}, /* XGI_LVDSCRT11280x1024_2_H */
2882   {Panel1400x1050,0x0018,0x0000,4}, /* XGI_LVDSCRT11400x1050_1_H */
2883   {Panel1400x1050,0x0018,0x0010,5}, /* XGI_LVDSCRT11400x1050_2_H */
2884   {Panel1600x1200,0x0018,0x0000,6},  /* XGI_LVDSCRT11600x1200_1_H */
2885   {Panel1024x768x75,0x0018,0x0000,7},   /* XGI_LVDSCRT11024x768_1_Hx75 */
2886   {Panel1024x768x75,0x0018,0x0010,8},   /* XGI_LVDSCRT11024x768_2_Hx75 */
2887   {Panel1280x1024x75,0x0018,0x0000,9},  /* XGI_LVDSCRT11280x1024_1_Hx75 */
2888   {Panel1280x1024x75,0x0018,0x0010,10}, /* XGI_LVDSCRT11280x1024_2_Hx75 */
2889   {0xFF,0x0000,0x0000,0}
2890 };
2891
2892 XGI330_LCDDataTablStruct XGI_EPLLCDCRT1Ptr_V[]=
2893 {
2894   {Panel1024x768,0x0018,0x0000,0}, /* XGI_LVDSCRT11024x768_1_V */
2895   {Panel1024x768,0x0018,0x0010,1}, /* XGI_LVDSCRT11024x768_2_V */
2896   {Panel1280x1024,0x0018,0x0000,2}, /* XGI_LVDSCRT11280x1024_1_V */
2897   {Panel1280x1024,0x0018,0x0010,3}, /* XGI_LVDSCRT11280x1024_2_V */
2898   {Panel1400x1050,0x0018,0x0000,4}, /* XGI_LVDSCRT11400x1050_1_V */
2899   {Panel1400x1050,0x0018,0x0010,5}, /* XGI_LVDSCRT11400x1050_2_V */
2900   {Panel1600x1200,0x0018,0x0000,6}, /* XGI_LVDSCRT11600x1200_1_V */
2901   {Panel1024x768x75,0x0018,0x0000,7},   /* XGI_LVDSCRT11024x768_1_Vx75 */
2902   {Panel1024x768x75,0x0018,0x0010,8},   /* XGI_LVDSCRT11024x768_2_Vx75 */
2903   {Panel1280x1024x75,0x0018,0x0000,9},  /* XGI_LVDSCRT11280x1024_1_Vx75 */
2904   {Panel1280x1024x75,0x0018,0x0010,10}, /* XGI_LVDSCRT11280x1024_2_Vx75 */
2905   {0xFF,0x0000,0x0000,0}
2906 };
2907
2908 XGI330_LCDDataTablStruct XGI_EPLLCDDataPtr[]=
2909 {
2910   {Panel1024x768,0x0018,0x0000,0}, /* XGI_LVDS1024x768Data_1 */
2911   {Panel1024x768,0x0018,0x0010,1}, /* XGI_LVDS1024x768Data_2 */
2912   {Panel1280x1024,0x0018,0x0000,2}, /* XGI_LVDS1280x1024Data_1 */
2913   {Panel1280x1024,0x0018,0x0010,3}, /* XGI_LVDS1280x1024Data_2 */
2914   {Panel1400x1050,0x0018,0x0000,4}, /* XGI_LVDS1400x1050Data_1 */
2915   {Panel1400x1050,0x0018,0x0010,5}, /* XGI_LVDS1400x1050Data_2 */
2916   {Panel1600x1200,0x0018,0x0000,6}, /* XGI_LVDS1600x1200Data_1 */
2917   {PanelRef60Hz,0x0008,0x0008,7}, /* XGI_LVDSNoScalingData */
2918   {Panel1024x768x75,0x0018,0x0000,8},   /* XGI_LVDS1024x768Data_1x75 */
2919   {Panel1024x768x75,0x0018,0x0010,9},   /* XGI_LVDS1024x768Data_2x75 */
2920   {Panel1280x1024x75,0x0018,0x0000,10}, /* XGI_LVDS1280x1024Data_1x75 */
2921   {Panel1280x1024x75,0x0018,0x0010,11}, /* XGI_LVDS1280x1024Data_2x75 */
2922   {PanelRef75Hz,0x0008,0x0008,12},      /* XGI_LVDSNoScalingDatax75 */
2923   {0xFF,0x0000,0x0000,0}
2924 };
2925
2926 XGI330_LCDDataTablStruct XGI_EPLLCDDesDataPtr[]=
2927 {
2928   {Panel1024x768,0x0018,0x0000,0}, /* XGI_LVDS1024x768Des_1 */
2929   {Panel1024x768,0x0618,0x0410,1}, /* XGI_LVDS1024x768Des_3 */
2930   {Panel1024x768,0x0018,0x0010,2}, /* XGI_LVDS1024x768Des_2 */
2931   {Panel1280x1024,0x0018,0x0000,3}, /* XGI_LVDS1280x1024Des_1 */
2932   {Panel1280x1024,0x0018,0x0010,4}, /* XGI_LVDS1280x1024Des_2 */
2933   {Panel1400x1050,0x0018,0x0000,5}, /* XGI_LVDS1400x1050Des_1 */
2934   {Panel1400x1050,0x0018,0x0010,6}, /* XGI_LVDS1400x1050Des_2 */
2935   {Panel1600x1200,0x0018,0x0000,7}, /* XGI_LVDS1600x1200Des_1 */
2936   {PanelRef60Hz,0x0008,0x0008,8},       /* XGI_LVDSNoScalingDesData */
2937   {Panel1024x768x75,0x0018,0x0000,9},   /* XGI_LVDS1024x768Des_1x75 */
2938   {Panel1024x768x75,0x0618,0x0410,10},  /* XGI_LVDS1024x768Des_3x75 */
2939   {Panel1024x768x75,0x0018,0x0010,11},  /* XGI_LVDS1024x768Des_2x75 */
2940   {Panel1280x1024x75,0x0018,0x0000,12}, /* XGI_LVDS1280x1024Des_1x75 */
2941   {Panel1280x1024x75,0x0018,0x0010,13}, /* XGI_LVDS1280x1024Des_2x75 */
2942   {PanelRef75Hz,0x0008,0x0008,14},      /* XGI_LVDSNoScalingDesDatax75 */
2943   {0xFF,0x0000,0x0000,0}
2944 };
2945
2946 XGI330_LCDDataTablStruct XGI_EPLCHLCDRegPtr[]=
2947 {
2948   {Panel1024x768,0x0000,0x0000,0}, /* XGI_CH7017LV1024x768 */
2949   {Panel1400x1050,0x0000,0x0000,1}, /* XGI_CH7017LV1400x1050 */
2950   {0xFF,0x0000,0x0000,0}
2951 };
2952
2953 XGI330_TVDataTablStruct XGI_TVDataTable[]=
2954 {
2955  {0x09E1,0x0001,0},     /* XGI_ExtPALData */
2956  {0x09E1,0x0000,1},     /* XGI_ExtNTSCData */
2957  {0x09E1,0x0801,2},     /* XGI_StPALData */
2958  {0x09E1,0x0800,3},     /* XGI_StNTSCData */
2959  {0x49E0,0x0100,4},     /* XGI_ExtHiTVData */
2960  {0x49E0,0x4100,5},     /* XGI_St2HiTVData */
2961  {0x49E0,0x4900,13},    /* XGI_St1HiTVData */
2962  {0x09E0,0x0020,6},     /* XGI_ExtYPbPr525iData */
2963  {0x09E0,0x0040,7},     /* XGI_ExtYPbPr525pData */
2964  {0x09E0,0x0080,8},     /* XGI_ExtYPbPr750pData */
2965  {0x09E0,0x0820,9},     /* XGI_StYPbPr525iData */
2966  {0x09E0,0x0840,10},    /* XGI_StYPbPr525pData */
2967  {0x09E0,0x0880,11},    /* XGI_StYPbPr750pData */
2968  {0xffff,0x0000,12}     /* END */
2969 };
2970
2971 USHORT TVLenList[]=
2972 {
2973    LVDSCRT1Len_H,
2974    LVDSCRT1Len_V,
2975    LVDSDataLen,
2976    0,
2977    TVDataLen,
2978    0,
2979    0,
2980    CHTVRegLen
2981 } ;
2982
2983 /* Chrontel 7017 TV CRT1 Timing List */
2984 XGI330_TVDataTablStruct XGI_EPLCHTVCRT1Ptr[]=
2985 {
2986   {0x0011,0x0000,0}, /* XGI_CHTVCRT1UNTSC */
2987   {0x0011,0x0010,1}, /* XGI_CHTVCRT1ONTSC */
2988   {0x0011,0x0001,2}, /* XGI_CHTVCRT1UPAL */
2989   {0x0011,0x0011,3}, /* XGI_CHTVCRT1OPAL */
2990   {0xFFFF,0x0000,4}
2991 };
2992
2993 /* ;;Chrontel 7017 TV Timing List */
2994 XGI330_TVDataTablStruct XGI_EPLCHTVDataPtr[]=
2995 {
2996   {0x0011,0x0000,0}, /* XGI_CHTVUNTSCData */
2997   {0x0011,0x0010,1}, /* XGI_CHTVONTSCData */
2998   {0x0011,0x0001,2}, /* XGI_CHTVUPALData */
2999   {0x0011,0x0011,3}, /* XGI_CHTVOPALData */
3000   {0xFFFF,0x0000,4}
3001 };
3002
3003 /* ;;Chrontel 7017 TV Reg. List */
3004 XGI330_TVDataTablStruct XGI_EPLCHTVRegPtr[]=
3005 {
3006   {0x0011,0x0000,0}, /* XGI_CHTVRegUNTSC */
3007   {0x0011,0x0010,1}, /* XGI_CHTVRegONTSC */
3008   {0x0011,0x0001,2}, /* XGI_CHTVRegUPAL */
3009   {0x0011,0x0011,3}, /* XGI_CHTVRegOPAL */
3010   {0xFFFF,0x0000,4}
3011 };
3012
3013 USHORT LCDLenList[]=
3014 {
3015    LVDSCRT1Len_H,
3016    LVDSCRT1Len_V,
3017    LVDSDataLen,
3018    LCDDesDataLen,
3019    LCDDataLen,
3020    LCDDesDataLen,
3021    0,
3022    LCDDesDataLen,
3023    LCDDesDataLen,
3024    0
3025 } ;
3026
3027 XGI330_LCDCapStruct  XGI660_LCDDLCapList[]=  /* 660, Dual link */
3028 {
3029 /* LCDCap1024x768 */
3030                 {Panel1024x768, DefaultLCDCap, 0, 0x014, 0x88, 0x06, VCLK65,
3031                  0x6C, 0xC3, 0x35, 0x62, 0x02, 0x14, 0x0A, 0x02, 0x00,
3032                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x28, 0x10},
3033 /* LCDCap1280x1024 */
3034                 {Panel1280x1024, LCDDualLink+DefaultLCDCap, StLCDBToA, 0x053, 0x70, 0x03, VCLK108_2,
3035                  0x70, 0x44, 0xF8, 0x2F, 0x02, 0x14, 0x0A, 0x02, 0x00,
3036                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3037 /* LCDCap1400x1050 */
3038                 {Panel1400x1050, LCDDualLink+DefaultLCDCap, StLCDBToA, 0x053, 0x70, 0x03, VCLK108_2,
3039                  0x70, 0x44, 0xF8, 0x2F, 0x02, 0x14, 0x0A, 0x02, 0x00,
3040                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3041 /* LCDCap1600x1200 */
3042                 {Panel1600x1200, LCDDualLink+DefaultLCDCap, LCDToFull, 0x053, 0xC0, 0x03, VCLK162,
3043                  0x43, 0x22, 0x70, 0x24, 0x02, 0x14, 0x0A, 0x02, 0x00,
3044                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3045 /* LCDCap1024x768x75 */
3046                 {Panel1024x768x75, DefaultLCDCap, 0, 0x014, 0x60, 0, VCLK78_75,
3047                  0x2B, 0x61, 0x2B, 0x61, 0x02, 0x14, 0x0A, 0x02, 0x00,
3048                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x28, 0x10},
3049 /* LCDCap1280x1024x75 */
3050                 {Panel1280x1024x75, LCDDualLink+DefaultLCDCap, StLCDBToA, 0x053, 0x90, 0x03, VCLK135_5,
3051                  0x54, 0x42, 0x4A, 0x61, 0x02, 0x14, 0x0A, 0x02, 0x00,
3052                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3053 /* LCDCapDefault */
3054                 {0xFF, DefaultLCDCap, 0, 0x053, 0x88, 0x06, VCLK65,
3055                 0x6C, 0xC3, 0x35, 0x62, 0x02, 0x14, 0x0A, 0x02, 0x00,
3056                 0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x28, 0x10}
3057 };
3058
3059 XGI330_LCDCapStruct  XGI_LCDDLCapList[]=  /* Dual link only */
3060 {
3061 /* LCDCap1024x768 */
3062                 {Panel1024x768, DefaultLCDCap, 0, 0x012, 0x88, 0x06, VCLK65,
3063                 0x6C, 0xC3, 0x35, 0x62, 0x02, 0x14, 0x0A, 0x02, 0x00,
3064                 0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x28, 0x10},
3065 /* LCDCap1280x1024 */
3066                 {Panel1280x1024, LCDDualLink+DefaultLCDCap, StLCDBToA, 0x012, 0x70, 0x03, VCLK108_2,
3067                 0x70, 0x44, 0xF8, 0x2F, 0x02, 0x14, 0x0A, 0x02, 0x00,
3068                 0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3069 /* LCDCap1400x1050 */
3070                 {Panel1400x1050, LCDDualLink+DefaultLCDCap, StLCDBToA, 0x012, 0x70, 0x03, VCLK108_2,
3071                  0x70, 0x44, 0xF8, 0x2F, 0x02, 0x14, 0x0A, 0x02, 0x00,
3072                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3073 /* LCDCap1600x1200 */
3074                 {Panel1600x1200, LCDDualLink+DefaultLCDCap, LCDToFull, 0x012, 0xC0, 0x03, VCLK162,
3075                  0x43, 0x22, 0x70, 0x24, 0x02, 0x14, 0x0A, 0x02, 0x00,
3076                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3077 /* LCDCap1024x768x75 */
3078                 {Panel1024x768x75, DefaultLCDCap, 0, 0x012, 0x60, 0, VCLK78_75,
3079                  0x2B, 0x61, 0x2B, 0x61, 0x02, 0x14, 0x0A, 0x02, 0x00,
3080                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x28, 0x10},
3081 /* LCDCap1280x1024x75 */
3082                 {Panel1280x1024x75, LCDDualLink+DefaultLCDCap, StLCDBToA, 0x012, 0x90, 0x03, VCLK135_5,
3083                  0x54, 0x42, 0x4A, 0x61, 0x02, 0x14, 0x0A, 0x02, 0x00,
3084                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3085 /* LCDCapDefault */
3086                 {0xFF, DefaultLCDCap, 0, 0x012, 0x88, 0x06, VCLK65,
3087                 0x6C, 0xC3, 0x35, 0x62, 0x02, 0x14, 0x0A, 0x02, 0x00,
3088                 0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x28, 0x10}
3089 };
3090
3091 XGI330_LCDCapStruct  XGI660_LCDCapList[]=
3092 {
3093 /* LCDCap1024x768 */
3094                 {Panel1024x768, DefaultLCDCap, 0, 0x014, 0x88, 0x06, VCLK65,
3095                 0x6C, 0xC3, 0x35, 0x62, 0x02, 0x14, 0x0A, 0x02, 0x00,
3096                 0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x28, 0x10},
3097 /* LCDCap1280x1024 */
3098                 {Panel1280x1024, DefaultLCDCap, StLCDBToA, 0x053, 0x70, 0x03, VCLK108_2,
3099                 0x70, 0x44, 0xF8, 0x2F, 0x02, 0x14, 0x0A, 0x02, 0x00,
3100                 0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3101 /* LCDCap1400x1050 */
3102                 {Panel1400x1050, DefaultLCDCap, StLCDBToA, 0x053, 0x70, 0x03, VCLK108_2,
3103                  0x70, 0x44, 0xF8, 0x2F, 0x02, 0x14, 0x0A, 0x02, 0x00,
3104                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3105 /* LCDCap1600x1200 */
3106                 {Panel1600x1200, DefaultLCDCap, LCDToFull, 0x053, 0xC0, 0x03, VCLK162,
3107                  0x5A, 0x23, 0x5A, 0x23, 0x02, 0x14, 0x0A, 0x02, 0x00,
3108                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3109 /* LCDCap1024x768x75 */
3110                 {Panel1024x768x75, DefaultLCDCap, 0, 0x014, 0x60, 0, VCLK78_75,
3111                  0x2B, 0x61, 0x2B, 0x61, 0x02, 0x14, 0x0A, 0x02, 0x00,
3112                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x28, 0x10},
3113 /* LCDCap1280x1024x75 */
3114                 {Panel1280x1024x75,+DefaultLCDCap, StLCDBToA, 0x053, 0x90, 0x03, VCLK135_5,
3115                  0x54, 0x42, 0x4A, 0x61, 0x02, 0x14, 0x0A, 0x02, 0x00,
3116                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3117 /* LCDCapDefault */
3118                 {0xFF, DefaultLCDCap, 0, 0x053, 0x88, 0x06, VCLK65,
3119                 0x6C, 0xC3, 0x35, 0x62, 0x02, 0x14, 0x0A, 0x02, 0x00,
3120                 0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x28, 0x10}
3121 };
3122
3123 XGI330_LCDCapStruct  XGI_LCDCapList[]=
3124 {
3125 /* LCDCap1024x768 */
3126                 {Panel1024x768, DefaultLCDCap, 0, 0x012, 0x88, 0x06, VCLK65,
3127                 0x6C, 0xC3, 0x35, 0x62, 0x02, 0x14, 0x0A, 0x02, 0x00,
3128                 0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x28, 0x10},
3129 /* LCDCap1280x1024 */
3130                 {Panel1280x1024, DefaultLCDCap, StLCDBToA, 0x012, 0x70, 0x03, VCLK108_2,
3131                 0x70, 0x44, 0xF8, 0x2F, 0x02, 0x14, 0x0A, 0x02, 0x00,
3132                 0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3133 /* LCDCap1400x1050 */
3134                 {Panel1400x1050, DefaultLCDCap, StLCDBToA, 0x012, 0x70, 0x03, VCLK108_2,
3135                  0x70, 0x44, 0xF8, 0x2F, 0x02, 0x14, 0x0A, 0x02, 0x00,
3136                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3137 /* LCDCap1600x1200 */
3138                 {Panel1600x1200, DefaultLCDCap, LCDToFull, 0x012, 0xC0, 0x03, VCLK162,
3139                  0x5A, 0x23, 0x5A, 0x23, 0x02, 0x14, 0x0A, 0x02, 0x00,
3140                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3141 /* LCDCap1024x768x75 */
3142                 {Panel1024x768x75, DefaultLCDCap, 0, 0x012, 0x60, 0, VCLK78_75,
3143                  0x2B, 0x61, 0x2B, 0x61, 0x02, 0x14, 0x0A, 0x02, 0x00,
3144                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x28, 0x10},
3145 /* LCDCap1280x1024x75 */
3146                 {Panel1280x1024x75, DefaultLCDCap, StLCDBToA, 0x012, 0x90, 0x03, VCLK135_5,
3147                  0x54, 0x42, 0x4A, 0x61, 0x02, 0x14, 0x0A, 0x02, 0x00,
3148                  0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x30, 0x10},
3149 /* LCDCapDefault */
3150                 {0xFF, DefaultLCDCap, 0, 0x012, 0x88, 0x06, VCLK65,
3151                 0x6C, 0xC3, 0x35, 0x62, 0x02, 0x14, 0x0A, 0x02, 0x00,
3152                 0x30, 0x10, 0x5A, 0x10, 0x10, 0x0A, 0xC0, 0x28, 0x10}
3153 };
3154
3155 XGI21_LVDSCapStruct XGI21_LCDCapList[]=
3156 {
3157     {DisableLCD24bpp + LCDPolarity,
3158      2160,1250,1600,1200,  64,  1,  192,   3,
3159      0x70,0x24,0x20,0x04,0x0A,0x02,0xC8
3160     },
3161     {DisableLCD24bpp + LCDPolarity,
3162      1688,1066,1280,1024,  48,  1,  112,   3,
3163      0x70,0x44,0x20,0x04,0x0A,0x02,0xC8
3164     },
3165     {DisableLCD24bpp + LCDPolarity + (LCDPolarity << 8),
3166      1344, 806,1024, 768,  24,  3,  136,   6,
3167      0x6C,0x65,0x20,0x04,0x0A,0x02,0xC8
3168     },
3169     {DisableLCD24bpp + LCDPolarity,
3170      1056, 628, 800, 600,  40,   1, 128,   4,
3171      0x42,0xE2,0x20,0x14,0x0A,0x02,0x00
3172     },
3173     {DisableLCD24bpp + LCDPolarity,
3174       928, 525, 800, 480,  40,  13,  48,   3,
3175      0x52,0xC5,0x20,0x14,0x0A,0x02,0x00
3176     },
3177     {DisableLCD24bpp + LCDPolarity + (LCDPolarity << 8),
3178       800, 525, 640, 480,  16,  10,  96,   2,
3179      0x1B,0xE1,0x20,0x04,0x0A,0x02,0xC8
3180     }
3181
3182 };
3183
3184 XGI_Ext2Struct XGI330_RefIndex[]=
3185 {
3186 {Support32Bpp + SupportAllCRT2 + SyncPN,                        RES320x200,      VCLK25_175, 0x00,0x10,0x59, 320, 200},/* 00 */
3187 {Support32Bpp + SupportAllCRT2 + SyncPN,                        RES320x200,      VCLK25_175, 0x00,0x10,0x00, 320, 400},/* 01 */
3188 {Support32Bpp + SupportAllCRT2 + SyncNN,                        RES320x240,      VCLK25_175, 0x04,0x20,0x50, 320, 240},/* 02 */
3189 {Support32Bpp + SupportAllCRT2 + SyncPP,                        RES400x300,      VCLK40,     0x05,0x32,0x51, 400, 300},/* 03 */
3190 {Support32Bpp + NoSupportTV + SyncNN + SupportTV1024,           RES512x384,      VCLK65,     0x06,0x43,0x52, 512, 384},/* 04 */
3191 {Support32Bpp + SupportAllCRT2 + SyncPN,                        RES640x400,      VCLK25_175, 0x00,0x14,0x2f, 640, 400},/* 05 */
3192 {Support32Bpp + SupportAllCRT2 + SyncNN,                        RES640x480x60,   VCLK25_175, 0x04,0x24,0x2e, 640, 480},/* 06 640x480x60Hz (LCD 640x480x60z) */
3193 {Support32Bpp + NoSupportHiVisionTV + SyncNN,                   RES640x480x72,   VCLK31_5,   0x04,0x24,0x2e, 640, 480},/* 07 640x480x72Hz (LCD 640x480x70Hz) */
3194 {Support32Bpp + NoSupportHiVisionTV + SyncNN,                   RES640x480x75,   VCLK31_5,   0x47,0x24,0x2e, 640, 480},/* 08 640x480x75Hz (LCD 640x480x75Hz) */
3195 {Support32Bpp + SupportRAMDAC2 + SyncNN,                        RES640x480x85,   VCLK36,     0x8A,0x24,0x2e, 640, 480},/* 09 640x480x85Hz */
3196 {Support32Bpp + SupportRAMDAC2 + SyncPN,                        RES640x480x100,  VCLK43_163, 0x00,0x24,0x2e, 640, 480},/* 0a 640x480x100Hz */
3197 {Support32Bpp + SupportRAMDAC2 + SyncPN,                        RES640x480x120,  VCLK52_406, 0x00,0x24,0x2e, 640, 480},/* 0b 640x480x120Hz */
3198 {Support32Bpp + SupportRAMDAC2 + SyncPN,                        RES640x480x160,  VCLK72_852, 0x00,0x24,0x2e, 640, 480},/* 0c 640x480x160Hz */
3199 {Support32Bpp + SupportRAMDAC2 + SyncNN,                        RES640x480x200,  VCLK86_6,   0x00,0x24,0x2e, 640, 480},/* 0d 640x480x200Hz */
3200 {Support32Bpp + NoSupportLCD + SyncPP,                          RES800x600x56,   VCLK36,     0x05,0x36,0x6a, 800, 600},/* 0e 800x600x56Hz */
3201 {Support32Bpp + NoSupportTV + SyncPP,                           RES800x600x60,   VCLK40,     0x05,0x36,0x6a, 800, 600},/* 0f 800x600x60Hz (LCD 800x600x60Hz) */
3202 {Support32Bpp + NoSupportHiVisionTV + SyncPP,                   RES800x600x72,   VCLK50,     0x48,0x36,0x6a, 800, 600},/* 10 800x600x72Hz (LCD 800x600x70Hz) */
3203 {Support32Bpp + NoSupportHiVisionTV + SyncPP,                   RES800x600x75,   VCLK49_5,   0x8B,0x36,0x6a, 800, 600},/* 11 800x600x75Hz (LCD 800x600x75Hz) */
3204 {Support32Bpp + SupportRAMDAC2 + SyncPP,                        RES800x600x85,   VCLK56_25,  0x00,0x36,0x6a, 800, 600},/* 12 800x600x85Hz */
3205 {Support32Bpp + SupportRAMDAC2 + SyncPN,                        RES800x600x100,  VCLK68_179, 0x00,0x36,0x6a, 800, 600},/* 13 800x600x100Hz */
3206 {Support32Bpp + SupportRAMDAC2 + SyncPN,                        RES800x600x120,  VCLK83_95,  0x00,0x36,0x6a, 800, 600},/* 14 800x600x120Hz */
3207 {Support32Bpp + SupportRAMDAC2 + SyncPN,                        RES800x600x160,  VCLK116_406,0x00,0x36,0x6a, 800, 600},/* 15 800x600x160Hz */
3208 {Support32Bpp + InterlaceMode + SyncPP,                         RES1024x768x43,  VCLK44_9,   0x00,0x47,0x37,1024, 768},/* 16 1024x768x43Hz */
3209 {Support32Bpp + NoSupportTV + SyncNN + SupportTV1024,           RES1024x768x60,  VCLK65,     0x06,0x47,0x37,1024, 768},/* 17 1024x768x60Hz (LCD 1024x768x60Hz) */
3210 {Support32Bpp + NoSupportHiVisionTV + SyncNN,                   RES1024x768x70,  VCLK75,     0x49,0x47,0x37,1024, 768},/* 18 1024x768x70Hz (LCD 1024x768x70Hz) */
3211 {Support32Bpp + NoSupportHiVisionTV + SyncPP,                   RES1024x768x75,  VCLK78_75,  0x00,0x47,0x37,1024, 768},/* 19 1024x768x75Hz (LCD 1024x768x75Hz) */
3212 {Support32Bpp + SupportRAMDAC2 + SyncPP,                        RES1024x768x85,  VCLK94_5,   0x8C,0x47,0x37,1024, 768},/* 1a 1024x768x85Hz */
3213 {Support32Bpp + SupportRAMDAC2 + SyncPN,                        RES1024x768x100, VCLK113_309,0x00,0x47,0x37,1024, 768},/* 1b 1024x768x100Hz */
3214 {Support32Bpp + SupportRAMDAC2 + SyncPN,                        RES1024x768x120, VCLK139_054,0x00,0x47,0x37,1024, 768},/* 1c 1024x768x120Hz */
3215 {Support32Bpp + SupportLCD + SyncPP,                            RES1280x960x60,  VCLK108_2,  0x08,0x58,0x7b,1280, 960},/* 1d 1280x960x60Hz */
3216 {Support32Bpp + InterlaceMode + SyncPP,                         RES1280x1024x43, VCLK78_75,  0x00,0x58,0x3a,1280,1024},/* 1e 1280x1024x43Hz */
3217 {Support32Bpp + NoSupportTV + SyncPP,                           RES1280x1024x60, VCLK108_2,  0x07,0x58,0x3a,1280,1024},/* 1f 1280x1024x60Hz (LCD 1280x1024x60Hz) */
3218 {Support32Bpp + NoSupportTV + SyncPP,                           RES1280x1024x75, VCLK135_5,  0x00,0x58,0x3a,1280,1024},/* 20 1280x1024x75Hz (LCD 1280x1024x75Hz) */
3219 {Support32Bpp + SyncPP,                                         RES1280x1024x85, VCLK157_5,  0x00,0x58,0x3a,1280,1024},/* 21 1280x1024x85Hz */
3220 {Support32Bpp + SupportLCD + SyncPP + SupportCRT2in301C,        RES1600x1200x60, VCLK162,    0x09,0x7A,0x3c,1600,1200},/* 22 1600x1200x60Hz */
3221 {Support32Bpp + SyncPP + SupportCRT2in301C,                     RES1600x1200x65, VCLK175,    0x00,0x69,0x3c,1600,1200},/* 23 1600x1200x65Hz */
3222 {Support32Bpp + SyncPP + SupportCRT2in301C,                     RES1600x1200x70, VCLK189,    0x00,0x69,0x3c,1600,1200},/* 24 1600x1200x70Hz */
3223 {Support32Bpp + SyncPP + SupportCRT2in301C,                     RES1600x1200x75, VCLK202_5,  0x00,0x69,0x3c,1600,1200},/* 25 1600x1200x75Hz */
3224 {Support32Bpp + SyncPP,                                         RES1600x1200x85, VCLK229_5,  0x00,0x69,0x3c,1600,1200},/* 26 1600x1200x85Hz */
3225 {Support32Bpp + SyncPP,                                         RES1600x1200x100,VCLK269_655,0x00,0x69,0x3c,1600,1200},/* 27 1600x1200x100Hz */
3226 {Support32Bpp + SyncPP,                                         RES1600x1200x120,VCLK323_586,0x00,0x69,0x3c,1600,1200},/* 28 1600x1200x120Hz */
3227 {Support32Bpp + SupportLCD + SyncNP,                            RES1920x1440x60, VCLK234,    0x00,0x00,0x68,1920,1440},/* 29 1920x1440x60Hz */
3228 {Support32Bpp + SyncPN,                                         RES1920x1440x65, VCLK254_817,0x00,0x00,0x68,1920,1440},/* 2a 1920x1440x65Hz */
3229 {Support32Bpp + SyncPN,                                         RES1920x1440x70, VCLK277_015,0x00,0x00,0x68,1920,1440},/* 2b 1920x1440x70Hz */
3230 {Support32Bpp + SyncPN,                                         RES1920x1440x75, VCLK291_132,0x00,0x00,0x68,1920,1440},/* 2c 1920x1440x75Hz */
3231 {Support32Bpp + SyncPN,                                         RES1920x1440x85, VCLK330_615,0x00,0x00,0x68,1920,1440},/* 2d 1920x1440x85Hz */
3232 {Support16Bpp + SyncPN,                                         RES1920x1440x100,VCLK388_631,0x00,0x00,0x68,1920,1440},/* 2e 1920x1440x100Hz */
3233 {Support32Bpp + SupportLCD + SyncPN,                            RES2048x1536x60, VCLK266_952,0x00,0x00,0x6c,2048,1536},/* 2f 2048x1536x60Hz */
3234 {Support32Bpp + SyncPN,                                         RES2048x1536x65, VCLK291_766,0x00,0x00,0x6c,2048,1536},/* 30 2048x1536x65Hz */
3235 {Support32Bpp + SyncPN,                                         RES2048x1536x70, VCLK315_195,0x00,0x00,0x6c,2048,1536},/* 31 2048x1536x70Hz */
3236 {Support32Bpp + SyncPN,                                         RES2048x1536x75, VCLK340_477,0x00,0x00,0x6c,2048,1536},/* 32 2048x1536x75Hz */
3237 {Support16Bpp + SyncPN,                                         RES2048x1536x85, VCLK375_847,0x00,0x00,0x6c,2048,1536},/* 33 2048x1536x85Hz */
3238 {Support32Bpp + SupportHiVisionTV + SupportRAMDAC2 + SyncPP + SupportYPbPr,     RES800x480x60,   VCLK39_77,  0x08,0x00,0x70, 800, 480},/* 34 800x480x60Hz */
3239 {Support32Bpp + SupportRAMDAC2 + SyncPP,                        RES800x480x75,   VCLK49_5,   0x08,0x00,0x70, 800, 480},/* 35 800x480x75Hz */
3240 {Support32Bpp + SupportRAMDAC2 + SyncPP,                        RES800x480x85,   VCLK56_25,  0x08,0x00,0x70, 800, 480},/* 36 800x480x85Hz */
3241 {Support32Bpp + SupportHiVisionTV + SupportRAMDAC2 + SyncPP + SupportYPbPr,     RES1024x576x60,  VCLK65,     0x09,0x00,0x71,1024, 576},/* 37 1024x576x60Hz */
3242 {Support32Bpp + SupportRAMDAC2 + SyncPP,                        RES1024x576x75,  VCLK78_75,  0x09,0x00,0x71,1024, 576},/* 38 1024x576x75Hz */
3243 {Support32Bpp + SupportRAMDAC2 + SyncPP,                        RES1024x576x85,  VCLK94_5,   0x09,0x00,0x71,1024, 576},/* 39 1024x576x85Hz */
3244 {Support32Bpp + SupportHiVisionTV + SupportRAMDAC2 + SyncPP + SupportYPbPr,     RES1280x720x60,  VCLK108_2,  0x0A,0x00,0x75,1280, 720},/* 3a 1280x720x60Hz */
3245 {Support32Bpp + SupportRAMDAC2 + SyncPP,                        RES1280x720x75,  VCLK135_5,  0x0A,0x00,0x75,1280, 720},/* 3b 1280x720x75Hz */
3246 {Support32Bpp + SupportRAMDAC2 + SyncPP,                        RES1280x720x85,  VCLK157_5,  0x0A,0x00,0x75,1280, 720},/* 3c 1280x720x85Hz */
3247 {Support32Bpp + SupportTV + SyncNN,                             RES720x480x60,   VCLK28_322, 0x06,0x00,0x31, 720, 480},/* 3d 720x480x60Hz */
3248 {Support32Bpp + SupportTV + SyncPP,                             RES720x576x56,   VCLK36,     0x06,0x00,0x32, 720, 576},/* 3e 720x576x56Hz */
3249 {Support32Bpp + InterlaceMode + NoSupportLCD + SyncPP,          RES856x480x79I,  VCLK35_2,   0x00,0x00,0x00, 856, 480},/* 3f 856x480x79I */
3250 {Support32Bpp + NoSupportLCD + SyncNN,                          RES856x480x60,   VCLK35_2,   0x00,0x00,0x00, 856, 480},/* 40 856x480x60Hz */
3251 {Support32Bpp + NoSupportHiVisionTV + SyncPP,                   RES1280x768x60,  VCLK79_411, 0x08,0x48,0x23,1280, 768},/* 41 1280x768x60Hz */
3252 {Support32Bpp + NoSupportHiVisionTV + SyncPP,                   RES1400x1050x60, VCLK122_61, 0x08,0x69,0x26,1400,1050},/* 42 1400x1050x60Hz */
3253 {Support32Bpp + SupportRAMDAC2 + SyncPP,                        RES1152x864x60,  VCLK80_350, 0x37,0x00,0x20,1152, 864},/* 43 1152x864x60Hz */
3254 {Support32Bpp + SupportRAMDAC2 + SyncPP,                        RES1152x864x75,  VCLK107_385,0x37,0x00,0x20,1152, 864},/* 44 1152x864x75Hz */
3255 {Support32Bpp + SupportLCD + SupportRAMDAC2 + SyncPP,           RES1280x960x75,  VCLK125_999,0x3A,0x88,0x7b,1280, 960},/* 45 1280x960x75Hz */
3256 {Support32Bpp + SupportLCD + SupportRAMDAC2 + SyncPP,           RES1280x960x85,  VCLK148_5,  0x0A,0x88,0x7b,1280, 960},/* 46 1280x960x85Hz */
3257 {Support32Bpp + SupportLCD + SupportRAMDAC2 + SyncPP,           RES1280x960x120, VCLK217_325,0x3A,0x88,0x7b,1280, 960},/* 47 1280x960x120Hz */
3258 {Support32Bpp + SupportRAMDAC2 + SyncPN,                        RES1024x768x160, VCLK139_054,0x30,0x47,0x37,1024, 768},/* 48 1024x768x160Hz */
3259 };
3260
3261
3262
3263 XGI330_VCLKDataStruct XGI330_VCLKData[]=
3264 {
3265  { 0x1b,0xe1, 25}, /* 0x0 */
3266  { 0x4e,0xe4, 28}, /* 0x1 */
3267  { 0x57,0xe4, 31}, /* 0x2 */
3268  { 0xc3,0xc8, 36}, /* 0x3 */
3269  { 0x42,0xe2, 40}, /* 0x4 */
3270  { 0xfe,0xcd, 43}, /* 0x5 */
3271  { 0x5d,0xc4, 44}, /* 0x6 */
3272  { 0x52,0xe2, 49}, /* 0x7 */
3273  { 0x53,0xe2, 50}, /* 0x8 */
3274  { 0x74,0x67, 52}, /* 0x9 */
3275  { 0x6d,0x66, 56}, /* 0xa */
3276  { 0x6c,0xc3, 65}, /* 0xb */
3277  { 0x46,0x44, 67}, /* 0xc */
3278  { 0xb1,0x46, 68}, /* 0xd */
3279  { 0xd3,0x4a, 72}, /* 0xe */
3280  { 0x29,0x61, 75}, /* 0xf */
3281  { 0x6e,0x46, 76}, /* 0x10 */
3282  { 0x2b,0x61, 78}, /* 0x11 */
3283  { 0x31,0x42, 79}, /* 0x12 */
3284  { 0xab,0x44, 83}, /* 0x13 */
3285  { 0x46,0x25, 84}, /* 0x14 */
3286  { 0x78,0x29, 86}, /* 0x15 */
3287  { 0x62,0x44, 94}, /* 0x16 */
3288  { 0x2b,0x41,104}, /* 0x17 */
3289  { 0x3a,0x23,105}, /* 0x18 */
3290  { 0x70,0x44,108}, /* 0x19 */
3291  { 0x3c,0x23,109}, /* 0x1a */
3292  { 0x5e,0x43,113}, /* 0x1b */
3293  { 0xbc,0x44,116}, /* 0x1c */
3294  { 0xe0,0x46,132}, /* 0x1d */
3295  { 0x54,0x42,135}, /* 0x1e */
3296  { 0xea,0x2a,139}, /* 0x1f */
3297  { 0x41,0x22,157}, /* 0x20 */
3298  { 0x70,0x24,162}, /* 0x21 */
3299  { 0x30,0x21,175}, /* 0x22 */
3300  { 0x4e,0x22,189}, /* 0x23 */
3301  { 0xde,0x26,194}, /* 0x24 */
3302  { 0x62,0x06,202}, /* 0x25 */
3303  { 0x3f,0x03,229}, /* 0x26 */
3304  { 0xb8,0x06,234}, /* 0x27 */
3305  { 0x34,0x02,253}, /* 0x28 */
3306  { 0x58,0x04,255}, /* 0x29 */
3307  { 0x24,0x01,265}, /* 0x2a */
3308  { 0x9b,0x02,267}, /* 0x2b */
3309  { 0x70,0x05,270}, /* 0x2c */
3310  { 0x25,0x01,272}, /* 0x2d */
3311  { 0x9c,0x02,277}, /* 0x2e */
3312  { 0x27,0x01,286}, /* 0x2f */
3313  { 0x3c,0x02,291}, /* 0x30 */
3314  { 0xef,0x0a,292}, /* 0x31 */
3315  { 0xf6,0x0a,310}, /* 0x32 */
3316  { 0x95,0x01,315}, /* 0x33 */
3317  { 0xf0,0x09,324}, /* 0x34 */
3318  { 0xfe,0x0a,331}, /* 0x35 */
3319  { 0xf3,0x09,332}, /* 0x36 */
3320  { 0xea,0x08,340}, /* 0x37 */
3321  { 0xe8,0x07,376}, /* 0x38 */
3322  { 0xde,0x06,389}, /* 0x39 */
3323  { 0x52,0x2a, 54}, /* 0x3a */
3324  { 0x52,0x6a, 27}, /* 0x3b */
3325  { 0x62,0x24, 70}, /* 0x3c */
3326  { 0x62,0x64, 70}, /* 0x3d */
3327  { 0xa8,0x4c, 30}, /* 0x3e */
3328  { 0x20,0x26, 33}, /* 0x3f */
3329  { 0x31,0xc2, 39}, /* 0x40 */
3330  { 0x60,0x36, 30}, /* 0x41 */
3331  { 0x40,0x4A, 28}, /* 0x42 */
3332  { 0x9F,0x46, 44}, /* 0x43 */
3333  { 0x97,0x2C, 26}, /* 0x44 */
3334  { 0x44,0xE4, 25}, /* 0x45 */
3335  { 0x7E,0x32, 47}, /* 0x46 */
3336  { 0x08,0x24, 31}, /* 0x47 */
3337  { 0x97,0x2c, 26}, /* 0x48 */
3338  { 0xCE,0x3c, 39}, /* 0x49 */
3339  { 0x52,0x4A, 36}, /* 0x4a */
3340  { 0x2C,0x61, 95}, /* 0x4b */
3341  { 0x78,0x27,108}, /* 0x4c */
3342  { 0x66,0x43,123},  /* 0x4d */
3343  { 0x2c,0x61, 80},  /* 0x4e */
3344  { 0x3b,0x61,108}  /* 0x4f */
3345 };
3346
3347 XGI_VBVCLKDataStruct XGI330_VBVCLKData[]=
3348 {
3349  { 0x1b,0xe1, 25}, /* 0x0 */
3350  { 0x4e,0xe4, 28}, /* 0x1 */
3351  { 0x57,0xe4, 31}, /* 0x2 */
3352  { 0xc3,0xc8, 36}, /* 0x3 */
3353  { 0x42,0x47, 40}, /* 0x4 */
3354  { 0xfe,0xcd, 43}, /* 0x5 */
3355  { 0x5d,0xc4, 44}, /* 0x6 */
3356  { 0x52,0x47, 49}, /* 0x7 */
3357  { 0x53,0x47, 50}, /* 0x8 */
3358  { 0x74,0x67, 52}, /* 0x9 */
3359  { 0x6d,0x66, 56}, /* 0xa */
3360  { 0x5a,0x64, 65}, /* 0xb */
3361  { 0x46,0x44, 67}, /* 0xc */
3362  { 0xb1,0x46, 68}, /* 0xd */
3363  { 0xd3,0x4a, 72}, /* 0xe */
3364  { 0x29,0x61, 75}, /* 0xf */
3365  { 0x6d,0x46, 75}, /* 0x10 */
3366  { 0x41,0x43, 78}, /* 0x11 */
3367  { 0x31,0x42, 79}, /* 0x12 */
3368  { 0xab,0x44, 83}, /* 0x13 */
3369  { 0x46,0x25, 84}, /* 0x14 */
3370  { 0x78,0x29, 86}, /* 0x15 */
3371  { 0x62,0x44, 94}, /* 0x16 */
3372  { 0x2b,0x22,104}, /* 0x17 */
3373  { 0x49,0x24,105}, /* 0x18 */
3374  { 0xf8,0x2f,108}, /* 0x19 */
3375  { 0x3c,0x23,109}, /* 0x1a */
3376  { 0x5e,0x43,113}, /* 0x1b */
3377  { 0xbc,0x44,116}, /* 0x1c */
3378  { 0xe0,0x46,132}, /* 0x1d */
3379  { 0xd4,0x28,135}, /* 0x1e */
3380  { 0xea,0x2a,139}, /* 0x1f */
3381  { 0x41,0x22,157}, /* 0x20 */
3382  { 0x70,0x24,162}, /* 0x21 */
3383  { 0x30,0x21,175}, /* 0x22 */
3384  { 0x4e,0x22,189}, /* 0x23 */
3385  { 0xde,0x26,194}, /* 0x24 */
3386  { 0x70,0x07,202}, /* 0x25 */
3387  { 0x3f,0x03,229}, /* 0x26 */
3388  { 0xb8,0x06,234}, /* 0x27 */
3389  { 0x34,0x02,253}, /* 0x28 */
3390  { 0x58,0x04,255}, /* 0x29 */
3391  { 0x24,0x01,265}, /* 0x2a */
3392  { 0x9b,0x02,267}, /* 0x2b */
3393  { 0x70,0x05,270}, /* 0x2c */
3394  { 0x25,0x01,272}, /* 0x2d */
3395  { 0x9c,0x02,277}, /* 0x2e */
3396  { 0x27,0x01,286}, /* 0x2f */
3397  { 0x3c,0x02,291}, /* 0x30 */
3398  { 0xef,0x0a,292}, /* 0x31 */
3399  { 0xf6,0x0a,310}, /* 0x32 */
3400  { 0x95,0x01,315}, /* 0x33 */
3401  { 0xf0,0x09,324}, /* 0x34 */
3402  { 0xfe,0x0a,331}, /* 0x35 */
3403  { 0xf3,0x09,332}, /* 0x36 */
3404  { 0xea,0x08,340}, /* 0x37 */
3405  { 0xe8,0x07,376}, /* 0x38 */
3406  { 0xde,0x06,389}, /* 0x39 */
3407  { 0x52,0x2a, 54}, /* 0x3a */
3408  { 0x52,0x6a, 27}, /* 0x3b */
3409  { 0x62,0x24, 70}, /* 0x3c */
3410  { 0x62,0x64, 70}, /* 0x3d */
3411  { 0xa8,0x4c, 30}, /* 0x3e */
3412  { 0x20,0x26, 33}, /* 0x3f */
3413  { 0x31,0xc2, 39}, /* 0x40 */
3414  { 0x2e,0x48, 25}, /* 0x41 */
3415  { 0x24,0x46, 25}, /* 0x42 */
3416  { 0x26,0x64, 28}, /* 0x43 */
3417  { 0x37,0x64, 40}, /* 0x44 */
3418  { 0xa1,0x42,108}, /* 0x45 */
3419  { 0x37,0x61,100}, /* 0x46 */
3420  { 0x78,0x27,108}, /* 0x47 */
3421  { 0x5e,0x64,68},  /* 0x48 chiawen for fuj1280x768*/
3422  { 0x70,0x44,108}, /* 0x49 chiawen for 1400x1050*/
3423 };
3424
3425 UCHAR XGI330_ScreenOffset[]={ 0x14,0x19,0x20,0x28,0x32,0x40,0x50,0x64,0x78,0x80,0x2d,0x35,0x57,0x48 };
3426
3427 XGI_StResInfoStruct XGI330_StResInfo[]=
3428 {
3429  { 640,400},
3430  { 640,350},
3431  { 720,400},
3432  { 720,350},
3433  { 640,480}
3434 };
3435
3436 XGI_ModeResInfoStruct XGI330_ModeResInfo[]=
3437 {
3438  {  320, 200, 8, 8},
3439  {  320, 240, 8, 8},
3440  {  320, 400, 8, 8},
3441  {  400, 300, 8, 8},
3442  {  512, 384, 8, 8},
3443  {  640, 400, 8,16},
3444  {  640, 480, 8,16},
3445  {  800, 600, 8,16},
3446  { 1024, 768, 8,16},
3447  { 1280,1024, 8,16},
3448  { 1600,1200, 8,16},
3449  { 1920,1440, 8,16},
3450  { 2048,1536, 8,16},
3451  {  720, 480, 8,16},
3452  {  720, 576, 8,16},
3453  { 1280, 960, 8,16},
3454  {  800, 480, 8,16},
3455  { 1024, 576, 8,16},
3456  { 1280, 720, 8,16},
3457  {  856, 480, 8,16},
3458  { 1280, 768, 8,16},
3459  { 1400,1050, 8,16},
3460  { 1152, 864, 8,16}
3461 };
3462
3463 UCHAR XGI330_OutputSelect =0x40;
3464 UCHAR XGI330_SoftSetting = 0x30;
3465 UCHAR XGI330_SR07=0x18;
3466 UCHAR XGI330New_SR15[8][8]={
3467 {0x0,0x4,0x60,0x60},
3468 {0xf,0xf,0xf,0xf},
3469 {0xba,0xba,0xba,0xba},
3470 {0xa9,0xa9,0xac,0xac},
3471 {0xa0,0xa0,0xa0,0xa8},
3472 {0x0,0x0,0x2,0x2},
3473 {0x30,0x30,0x40,0x40},
3474 {0x0,0xa5,0xfb,0xf6}
3475 };
3476
3477 UCHAR XGI330New_CR40[5][8]={
3478 {0x77,0x77,0x44,0x44},
3479 {0x77,0x77,0x44,0x44},
3480 {0x0,0x0,0x0,0x0},
3481 {0x5b,0x5b,0xab,0xab},
3482 {0x0,0x0,0xf0,0xf8}
3483 };
3484
3485 UCHAR XGI330_CR49[]={0xaa,0x88};
3486 UCHAR XGI330_SR1F=0x0;
3487 UCHAR XGI330_SR21=0xa3;
3488 UCHAR XGI330_650_SR21=0xa7;
3489 UCHAR XGI330_SR22=0xfb;
3490 UCHAR XGI330_SR23=0xf6;
3491 UCHAR XGI330_SR24=0xd;
3492
3493 UCHAR XGI660_SR21=0xa3;/* 2003.0312 */
3494 UCHAR XGI660_SR22=0xf3;/* 2003.0312 */
3495
3496 UCHAR XGI330_LVDS_SR32=0x00;   /* ynlai for 650 LVDS */
3497 UCHAR XGI330_LVDS_SR33=0x00;    /* chiawen for 650 LVDS */
3498 UCHAR XGI330_650_SR31=0x40;
3499 UCHAR XGI330_650_SR33=0x04;
3500 UCHAR XGI330_CRT2Data_1_2 = 0x0;
3501 UCHAR XGI330_CRT2Data_4_D = 0x0;
3502 UCHAR XGI330_CRT2Data_4_E = 0x0;
3503 UCHAR XGI330_CRT2Data_4_10 = 0x80;
3504 USHORT XGI330_RGBSenseData = 0xd1;
3505 USHORT XGI330_VideoSenseData = 0xb9;
3506 USHORT XGI330_YCSenseData = 0xb3;
3507 USHORT XGI330_RGBSenseData2 = 0x0190;     /*301b*/
3508 USHORT XGI330_VideoSenseData2 = 0x0110;
3509 USHORT XGI330_YCSenseData2 = 0x016B;
3510 UCHAR XGI330_NTSCPhase[] = {0x21,0xed,0x8a,0x8};
3511 UCHAR XGI330_PALPhase[] = {0x2a,0x5,0xd3,0x0};
3512 UCHAR XGI330_NTSCPhase2[] = {0x21,0xF0,0x7B,0xD6};/*301b*/
3513 UCHAR XGI330_PALPhase2[] = {0x2a,0x09,0x86,0xe9};
3514 UCHAR XGI330_PALMPhase[] = {0x21,0xE4,0x2E,0x9B};   /*palmn*/
3515 UCHAR XGI330_PALNPhase[] = {0x21,0xF4,0x3E,0xBA};
3516 UCHAR XG40_I2CDefinition = 0x00 ;
3517 UCHAR XG20_CR97 = 0x10 ;
3518
3519 UCHAR XG21_DVOSetting = 0x00 ;
3520 UCHAR XG21_CR2E = 0x00 ;
3521 UCHAR XG21_CR2F = 0x00 ;
3522 UCHAR XG21_CR46 = 0x00 ;
3523 UCHAR XG21_CR47 = 0x00 ;
3524
3525 UCHAR XG27_CR97 = 0xC1 ;
3526 UCHAR XG27_SR36 = 0x30 ;
3527 UCHAR XG27_CR8F = 0x0C ;
3528 UCHAR XG27_CRD0[] = {0,0,0,0,0,0,0,0x82,0x00,0x66,0x01,0x00} ;
3529 UCHAR XG27_CRDE[] = {0,0} ;
3530 UCHAR XG27_SR40 = 0x04 ;
3531 UCHAR XG27_SR41 = 0x00 ;
3532
3533 UCHAR XGI330_CHTVVCLKUNTSC[]={0x00 };
3534
3535 UCHAR XGI330_CHTVVCLKONTSC[]={0x00 };
3536
3537 UCHAR XGI330_CHTVVCLKUPAL[]={0x00 };
3538
3539 UCHAR XGI330_CHTVVCLKOPAL[]={0x00 };
3540
3541 UCHAR XGI7007_CHTVVCLKUNTSC[]={CH7007TVVCLK30_2,
3542                                CH7007TVVCLK30_2,
3543                                CH7007TVVCLK30_2,
3544                                CH7007TVVCLK30_2,
3545                                CH7007TVVCLK28_1,
3546                                CH7007TVVCLK47_8
3547                               };
3548
3549 UCHAR XGI7007_CHTVVCLKONTSC[]={CH7007TVVCLK26_4,
3550                                CH7007TVVCLK26_4,
3551                                CH7007TVVCLK26_4,
3552                                CH7007TVVCLK26_4,
3553                                CH7007TVVCLK24_6,
3554                                CH7007TVVCLK43_6
3555                               };
3556
3557 UCHAR XGI7007_CHTVVCLKUPAL[]={CH7007TVVCLK31_5,
3558                               CH7007TVVCLK31_5,
3559                               CH7007TVVCLK31_5,
3560                               CH7007TVVCLK31_5,
3561                               CH7007TVVCLK26_2,
3562                               CH7007TVVCLK39
3563                              };
3564
3565 UCHAR XGI7007_CHTVVCLKOPAL[]={CH7007TVVCLK31_5,
3566                               CH7007TVVCLK31_5,
3567                               CH7007TVVCLK31_5,
3568                               CH7007TVVCLK31_5,
3569                               CH7007TVVCLK26_2,
3570                               CH7007TVVCLK36
3571                              };
3572
3573 XGI330_VCLKDataStruct XGI_CH7007VCLKData[]=
3574 {
3575  { 0x60,0x36,30},  /* 0 30.2 MHZ */
3576  { 0x40,0x4A,28},  /* 1 28.19 MHZ */
3577  { 0x9F,0x46,44},  /* 2 43.6 MHZ */
3578  { 0x97,0x2C,26},  /* 3 26.4 MHZ */
3579  { 0x44,0xE4,25},  /* 4 24.6 MHZ */
3580  { 0x7E,0x32,47},  /* 5 47.832 MHZ */
3581  { 0x8A,0x24,31},  /* 6 31.5 MHZ */
3582  { 0x97,0x2C,26},  /* 7 26.2 MHZ */
3583  { 0xCE,0x3C,39},  /* 8 39 MHZ   */
3584  { 0x52,0x4A,36},  /* 9 36 MHZ   */
3585  { 0xFF,0x00,0 }   /* End mark      */
3586 };
3587
3588 XGI330_VCLKDataStruct XGI_VCLKData[]=
3589 {
3590                 /* SR2B,SR2C,SR2D */
3591                 {      0x1B,0xE1,25               },/* 00 (25.175MHz) */
3592
3593                 {      0x4E,0xE4,28               },/* 01 (28.322MHz) */
3594
3595                 {      0x57,0xE4,31               },/* 02 (31.500MHz) */
3596
3597                 {      0xC3,0xC8,36               },/* 03 (36.000MHz) */
3598
3599                 {      0x42,0xE2,40               },/* 04 (40.000MHz) */
3600
3601                 {      0xFE,0xCD,43               },/* 05 (43.163MHz) */
3602
3603                 {      0x5D,0xC4,44               },/* 06 (44.900MHz) */
3604
3605                 {      0x52,0xE2,49               },/* 07 (49.500MHz) */
3606
3607                 {      0x53,0xE2,50               },/* 08 (50.000MHz) */
3608
3609                 {      0x74,0x67,52               },/* 09 (52.406MHz) */
3610
3611                 {      0x6D,0x66,56               },/* 0A (56.250MHz) */
3612
3613                 {      0x6C,0xC3,65               },/* 0B (65.000MHz) */
3614
3615                 {      0x46,0x44,67               },/* 0C (67.765MHz) */
3616
3617                 {      0xB1,0x46,68               },/* 0D (68.179MHz) */
3618
3619                 {      0xD3,0x4A,72               },/* 0E (72.852MHz) */
3620
3621                 {      0x29,0x61,75              },/* 0F (75.000MHz) */
3622
3623                 {      0x6E,0x46,76               },/* 10 (75.800MHz) */
3624
3625                 {      0x2B,0x61,78               },/* 11 (78.750MHz) */
3626
3627                 {      0x31,0x42,79               },/* 12 (79.411MHz) */
3628
3629                 {      0xAB,0x44,83               },/* 13 (83.950MHz) */
3630
3631                 {      0x46,0x25,84               },/* 14 (84.800MHz) */
3632
3633                 {      0x78,0x29,86               },/* 15 (86.600MHz) */
3634
3635                 {      0x62,0x44,94               },/* 16 (94.500MHz) */
3636
3637                 {      0x2B,0x41,104               },/* 17 (104.998MHz) */
3638
3639                 {      0x3A,0x23,105               },/* 18 (105.882MHz) */
3640
3641                 {      0x70,0x44,108               },/* 19 (107.862MHz) */
3642
3643                 {      0x3C,0x23,109               },/* 1A (109.175MHz) */
3644
3645                 {      0x5E,0x43,113              },/* 1B (113.309MHz) */
3646
3647                 {      0xBC,0x44,116              },/* 1C (116.406MHz) */
3648
3649                 {      0xE0,0x46,132              },/* 1D (132.258MHz) */
3650
3651                 {      0x54,0x42,135               },/* 1E (135.500MHz) */
3652
3653                 {      0x9C,0x22,139               },/* 1F (139.275MHz) */
3654
3655                 {      0x41,0x22,157               },/* 20 (157.500MHz) */
3656
3657                 {      0x70,0x24,162               },/* 21 (161.793MHz) */
3658
3659                 {      0x30,0x21,175               },/* 22 (175.000MHz) */
3660
3661                 {      0x4E,0x22,189              },/* 23 (188.520MHz) */
3662
3663                 {      0xDE,0x26,194              },/* 24 (194.400MHz) */
3664
3665                 {      0x62,0x06,202               },/* 25 (202.500MHz) */
3666
3667                 {      0x3F,0x03,229               },/* 26 (229.500MHz) */
3668
3669                 {      0xB8,0x06,234               },/* 27 (233.178MHz) */
3670
3671                 {      0x34,0x02,253               },/* 28 (252.699MHz) */
3672
3673                 {      0x58,0x04,255               },/* 29 (254.817MHz) */
3674
3675                 {      0x24,0x01,265              },/* 2A (265.728MHz) */
3676
3677                 {      0x9B,0x02,267               },/* 2B (266.952MHz) */
3678
3679                 {      0x70,0x05,270               },/* 2C (269.65567MHz) */
3680
3681                 {      0x25,0x01,272               },/* 2D (272.04199MHz) */
3682
3683                 {      0x9C,0x02,277               },/* 2E (277.015MHz) */
3684
3685                 {      0x27,0x01,286               },/* 2F (286.359985MHz) */
3686
3687                 {      0xB3,0x04,291               },/* 30 (291.13266MHz) */
3688
3689                 {      0xBC,0x05,292               },/* 31 (291.766MHz) */
3690
3691                 {      0xF6,0x0A,310               },/* 32 (309.789459MHz) */
3692
3693                 {      0x95,0x01,315               },/* 33 (315.195MHz) */
3694
3695                 {      0xF0,0x09,324               },/* 34 (323.586792MHz) */
3696
3697                 {      0xFE,0x0A,331               },/* 35 (330.615631MHz) */
3698
3699                 {      0xF3,0x09,332              },/* 36 (332.177612MHz) */
3700
3701                 {      0x5E,0x03,340              },/* 37 (340.477MHz) */
3702
3703                 {      0xE8,0x07,376              },/* 38 (375.847504MHz) */
3704
3705                 {      0xDE, 0x06,389             },/* 39 (388.631439MHz) */
3706
3707                 {      0x52,0x2A,54               },/* 3A (54.000MHz) */
3708
3709                 {      0x52,0x6A,27              },/* 3B (27.000MHz) */
3710
3711                 {      0x62,0x24,70               },/* 3C (70.874991MHz) */
3712
3713                 {      0x62,0x64,70               },/* 3D (70.1048912MHz) */
3714
3715                 {      0xA8,0x4C,30               },/* 3E (30.1048912MHz) */
3716
3717                 {      0x20,0x26,33               },/* 3F (33.7499957MHz) */
3718
3719                 {      0x31,0xc2,39               },/* 40 (39.77MHz) */
3720
3721                 {      0x11,0x21,30               },/* 41 (30MHz) }// NTSC 1024X768 */
3722
3723                 {      0x2E,0x48,25               },/* 42 (25.175MHz) }// ScaleLCD */
3724
3725                 {      0x24,0x46,25               },/* 43 (25.175MHz) */
3726
3727                 {      0x26,0x64,28               },/* 44 (28.322MHz) */
3728
3729                 {      0x37,0x64,40               },/* 45 (40.000MHz) */
3730
3731                 {      0xA1,0x42,108               },/* 46 (95.000MHz) }// QVGA */
3732
3733                 {      0x37,0x61,100               },/* 47 (100.00MHz) */
3734
3735                 {      0x78,0x27,108               },/* 48 (108.200MHz) */
3736
3737                 {      0xBF,0xC8,35               },/* 49 (35.2MHz) */
3738
3739                 {      0x66,0x43,123               },/* 4A (122.61Mhz) */
3740
3741                 {      0x2C,0x61,80               },/* 4B (80.350Mhz) */
3742
3743                 {      0x3B,0x61,108               },/* 4C (107.385Mhz) */
3744
3745
3746 /*                {      0x60,0x36,30               },// 4D (30.200MHz)   }// No use
3747
3748                 {      0x60,0x36,30               },// 4E (30.200MHz)   }// No use
3749
3750                 {      0x60,0x36,30               },// 4F (30.200MHz)   }// No use
3751
3752                 {      0x60,0x36,30               },// 50 (30.200MHz)   }// CHTV
3753
3754                 {      0x40,0x4A,28               },// 51 (28.190MHz)
3755
3756                 {      0x9F,0x46,44               },// 52 (43.600MHz)
3757
3758                 {      0x97,0x2C,26               },// 53 (26.400MHz)
3759
3760                 {      0x44,0xE4,25               },// 54 (24.600MHz)
3761
3762                 {      0x7E,0x32,47               },// 55 (47.832MHz)
3763
3764                 {      0x8A,0x24,31               },// 56 (31.500MHz)
3765
3766                 {      0x97,0x2C,26               },// 57 (26.200MHz)
3767
3768                 {      0xCE,0x3C,39               },// 58 (39.000MHz)
3769
3770                 {      0x52,0x4A,36               },// 59 (36.000MHz)
3771
3772 */
3773                 {      0x69,0x61,191              }, /* 4D (190.96MHz ) */
3774                 {      0x4F,0x22,192              }, /* 4E (192.069MHz) */
3775                 {      0x28,0x26,322              }, /* 4F (322.273MHz) */
3776                 {      0x5C,0x6B,27               }, /* 50 (27.74HMz) */
3777                 {      0x57,0x24,126              }, /* 51 (125.999MHz) */
3778                 {      0x5C,0x42,148              }, /* 52 (148.5MHz) */
3779                 {      0x42,0x61,120              }, /* 53 (120.839MHz) */
3780                 {      0x62,0x61,178              }, /* 54 (178.992MHz) */
3781                 {      0x59,0x22,217              }, /* 55 (217.325MHz) */
3782                 {      0x29,0x01,300              }, /* 56 (299.505Mhz) */
3783                 {      0x52,0x63,74               }, /* 57 (74.25MHz) */
3784
3785
3786                 {      0xFF,0x00,0                }/* End mark */
3787  }  ;
3788
3789 XGI330_VCLKDataStruct XGI_VBVCLKData[]=
3790 {
3791                 {      0x1B,0xE1,25               },/* 00 (25.175MHz) */
3792
3793                 {      0x4E,0xE4,28               },/* 01 (28.322MHz) */
3794
3795                 {      0x57,0xE4,31               },/* 02 (31.500MHz) */
3796
3797                 {      0xC3,0xC8,36               },/* 03 (36.000MHz) */
3798
3799                 {      0x42,0x47,40               },/* 04 (40.000MHz) */
3800
3801                 {      0xFE,0xCD,43               },/* 05 (43.163MHz) */
3802
3803                 {      0x5D,0xC4,44               },/* 06 (44.900MHz) */
3804
3805                 {      0x52,0x47,49               },/* 07 (49.500MHz) */
3806
3807                 {      0x53,0x47,50               },/* 08 (50.000MHz) */
3808
3809                 {      0x74,0x67,52               },/* 09 (52.406MHz) */
3810
3811                 {      0x6D,0x66,56               },/* 0A (56.250MHz) */
3812
3813                 {      0x35,0x62,65               },/* 0B (65.000MHz) */
3814
3815                 {      0x46,0x44,67               },/* 0C (67.765MHz) */
3816
3817                 {      0xB1,0x46,68               },/* 0D (68.179MHz) */
3818
3819                 {      0xD3,0x4A,72               },/* 0E (72.852MHz) */
3820
3821                 {      0x29,0x61,75               },/* 0F (75.000MHz) */
3822
3823                 {      0x6D,0x46,75               },/* 10 (75.800MHz) */
3824
3825                 {      0x41,0x43,78               },/* 11 (78.750MHz) */
3826
3827                 {      0x31,0x42,79               },/* 12 (79.411MHz) */
3828
3829                 {      0xAB,0x44,83               },/* 13 (83.950MHz) */
3830
3831                 {      0x46,0x25,84               },/* 14 (84.800MHz) */
3832
3833                 {      0x78,0x29,86               },/* 15 (86.600MHz) */
3834
3835                 {      0x62,0x44,94               },/* 16 (94.500MHz) */
3836
3837                 {      0x2B,0x22,104               },/* 17 (104.998MHz) */
3838
3839                 {      0x49,0x24,105               },/* 18 (105.882MHz) */
3840
3841                 {      0xF8,0x2F,108               },/* 19 (108.279MHz) */
3842
3843                 {      0x3C,0x23,109               },/* 1A (109.175MHz) */
3844
3845                 {      0x5E,0x43,113               },/* 1B (113.309MHz) */
3846
3847                 {      0xBC,0x44,116               },/* 1C (116.406MHz) */
3848
3849                 {      0xE0,0x46,132               },/* 1D (132.258MHz) */
3850
3851                 {      0xD4,0x28,135               },/* 1E (135.220MHz) */
3852
3853                 {      0xEA,0x2A,139               },/* 1F (139.275MHz) */
3854
3855                 {      0x41,0x22,157               },/* 20 (157.500MHz) */
3856
3857                 {      0x70,0x24,162               },/* 21 (161.793MHz) */
3858
3859                 {      0x30,0x21,175               },/* 22 (175.000MHz) */
3860
3861                 {      0x4E,0x22,189               },/* 23 (188.520MHz) */
3862
3863                 {      0xDE,0x26,194               },/* 24 (194.400MHz) */
3864
3865                 {      0x70,0x07,202               },/* 25 (202.500MHz) */
3866
3867                 {      0x3F,0x03,229               },/* 26 (229.500MHz) */
3868
3869                 {      0xB8,0x06,234               },/* 27 (233.178MHz) */
3870
3871                 {      0x34,0x02,253               },/* 28 (252.699997 MHz) */
3872
3873                 {      0x58,0x04,255               },/* 29 (254.817MHz) */
3874
3875                 {      0x24,0x01,265               },/* 2A (265.728MHz) */
3876
3877                 {      0x9B,0x02,267               },/* 2B (266.952MHz) */
3878
3879                 {      0x70,0x05,270               },/* 2C (269.65567 MHz) */
3880
3881                 {      0x25,0x01,272               },/* 2D (272.041992 MHz) */
3882
3883                 {      0x9C,0x02,277               },/* 2E (277.015MHz) */
3884
3885                 {      0x27,0x01,286               },/* 2F (286.359985 MHz) */
3886
3887                 {      0x3C,0x02,291               },/* 30 (291.132660 MHz) */
3888
3889                 {      0xEF,0x0A,292               },/* 31 (291.766MHz) */
3890
3891                 {      0xF6,0x0A,310               },/* 32 (309.789459 MHz) */
3892
3893                 {      0x95,0x01,315               },/* 33 (315.195MHz) */
3894
3895                 {      0xF0,0x09,324               },/* 34 (323.586792 MHz) */
3896
3897                 {      0xFE,0x0A,331               },/* 35 (330.615631 MHz) */
3898
3899                 {      0xF3,0x09,332               },/* 36 (332.177612 MHz) */
3900
3901                 {      0xEA,0x08,340               },/* 37 (340.477MHz) */
3902
3903                 {      0xE8,0x07,376               },/* 38 (375.847504 MHz) */
3904
3905                 {      0xDE,0x06,389               },/* 39 (388.631439 MHz) */
3906
3907                 {      0x52,0x2A,54                },/* 3A (54.000MHz) */
3908
3909                 {      0x52,0x6A,27                },/* 3B (27.000MHz) */
3910
3911
3912                 {      0x62,0x24,70                },/* 3C (70.874991MHz) */
3913
3914
3915                 {      0x62,0x64,70                },/* 3D (70.1048912MHz) */
3916
3917                 {      0xA8,0x4C,30                },/* 3E (30.1048912MHz) */
3918
3919                 {      0x20,0x26,33                },/* 3F (33.7499957MHz) */
3920
3921                 {      0x31,0xc2,39                },/* 40 (39.77MHz) */
3922
3923                 {      0x11,0x21,30                },/* 41 (30MHz) }// NTSC 1024X768 */
3924
3925                 {      0x2E,0x48,25                },/* 42 (25.175MHz) }// ScaleLCD */
3926
3927                 {      0x24,0x46,25                },/* 43 (25.175MHz) */
3928
3929                 {      0x26,0x64,28                },/* 44 (28.322MHz) */
3930
3931                 {      0x37,0x64,40                },/* 45 (40.000MHz) */
3932
3933                 {      0xA1,0x42,108               },/* 46 (95.000MHz) }// QVGA */
3934
3935                 {      0x37,0x61,100               },/* 47 (100.00MHz) */
3936
3937                 {      0x78,0x27,108               },/* 48 (108.200MHz) */
3938
3939                 {      0xBF,0xC8,35                },/* 49 (35.2MHz) */
3940
3941                 {      0x66,0x43,123               },/* 4A (122.61Mhz) */
3942
3943                 {      0x2C,0x61,80                },/* 4B (80.350Mhz) */
3944
3945                 {      0x3B,0x61,108               },/* 4C (107.385Mhz) */
3946
3947 /*
3948                 {      0x60,0x36,30               },// 4D (30.200MHz)   }// No use
3949
3950                 {      0x60,0x36,30               },// 4E (30.200MHz)   }// No use
3951
3952                 {      0x60,0x36,30               },// 4F (30.200MHz)   }// No use
3953
3954                 {      0x60,0x36,30               },// 50 (30.200MHz)   }// CHTV
3955
3956                 {      0x40,0x4A,28               },// 51 (28.190MHz)
3957
3958                 {      0x9F,0x46,44               },// 52 (43.600MHz)
3959
3960                 {      0x97,0x2C,26               },// 53 (26.400MHz)
3961
3962                 {      0x44,0xE4,25               },// 54 (24.600MHz)
3963
3964                 {      0x7E,0x32,47               },// 55 (47.832MHz)
3965
3966                 {      0x8A,0x24,31               },// 56 (31.500MHz)
3967
3968                 {      0x97,0x2C,26               },// 57 (26.200MHz)
3969
3970                 {      0xCE,0x3C,39               },// 58 (39.000MHz)
3971
3972                 {      0x52,0x4A,36               },// 59 (36.000MHz)
3973 */
3974                 {      0x69,0x61,191              }, /* 4D (190.96MHz ) */
3975                 {      0x4F,0x22,192              }, /* 4E (192.069MHz) */
3976                 {      0x28,0x26,322              }, /* 4F (322.273MHz) */
3977                 {      0x5C,0x6B,27               }, /* 50 (27.74HMz) */
3978                 {      0x57,0x24,126              }, /* 51 (125.999MHz) */
3979                 {      0x5C,0x42,148              }, /* 52 (148.5MHz) */
3980                 {      0x42,0x61,120              }, /* 53 (120.839MHz) */
3981                 {      0x62,0x61,178              }, /* 54 (178.992MHz) */
3982                 {      0x59,0x22,217              }, /* 55 (217.325MHz) */
3983                 {      0x29,0x01,300              }, /* 56 (299.505Mhz) */
3984                 {      0x52,0x63,74               }, /* 57 (74.25MHz) */
3985
3986
3987                 {      0xFF,0x00,0                }      /* End mark */
3988 };
3989
3990 UCHAR XGI660_TVDelayList[]=
3991 {
3992           0x44,            /* ; 0 ExtNTSCDelay */
3993           0x44,            /* ; 1 StNTSCDelay */
3994           0x44,            /* ; 2 ExtPALDelay */
3995           0x44,            /* ; 3 StPALDelay */
3996           0x44,            /* ; 4 ExtHiTVDelay(1080i) */
3997           0x44,            /* ; 5 StHiTVDelay(1080i) */
3998           0x44,            /* ; 6 ExtYPbPrDelay(525i) */
3999           0x44,            /* ; 7 StYPbPrDealy(525i) */
4000           0x44,            /* ; 8 ExtYPbPrDelay(525p) */
4001           0x44,            /* ; 9 StYPbPrDealy(525p) */
4002           0x44,            /* ; A ExtYPbPrDelay(750p) */
4003           0x44             /* ; B StYPbPrDealy(750p) */
4004 };
4005
4006 UCHAR XGI660_TVDelayList2[]=
4007 {
4008           0x44,           /* ; 0 ExtNTSCDelay */
4009           0x44,           /* ; 1 StNTSCDelay */
4010           0x44,           /* ; 2 ExtPALDelay */
4011           0x44,           /* ; 3 StPALDelay */
4012           0x44,           /* ; 4 ExtHiTVDelay */
4013           0x44,           /* ; 5 StHiTVDelay */
4014           0x44,           /* ; 6 ExtYPbPrDelay(525i) */
4015           0x44,           /* ; 7 StYPbPrDealy(525i) */
4016           0x44,           /* ; 8 ExtYPbPrDelay(525p) */
4017           0x44,           /* ; 9 StYPbPrDealy(525p) */
4018           0x44,           /* ; A ExtYPbPrDelay(750p) */
4019           0x44            /* ; B StYPbPrDealy(750p) */
4020 };
4021
4022 UCHAR XGI301TVDelayList[]=
4023 {
4024         0x22,            /* ; 0 ExtNTSCDelay */
4025         0x22,            /* ; 1 StNTSCDelay */
4026         0x22,            /* ; 2 ExtPALDelay */
4027         0x22,            /* ; 3 StPALDelay */
4028         0x88,            /* ; 4 ExtHiTVDelay(1080i) */
4029         0xBB,            /* ; 5 StHiTVDelay(1080i) */
4030         0x22,            /* ; 6 ExtYPbPrDelay(525i) */
4031         0x22,            /* ; 7 StYPbPrDealy(525i) */
4032         0x22,            /* ; 8 ExtYPbPrDelay(525p) */
4033         0x22,            /* ; 9 StYPbPrDealy(525p) */
4034         0x22,            /* ; A ExtYPbPrDelay(750p) */
4035         0x22            /* B StYPbPrDealy(750p) */
4036 };
4037
4038 UCHAR XGI301TVDelayList2[]=
4039 {
4040         0x22,           /* ; 0 ExtNTSCDelay */
4041         0x22,           /* ; 1 StNTSCDelay */
4042         0x22,           /* ; 2 ExtPALDelay */
4043         0x22,           /* ; 3 StPALDelay */
4044         0x22,           /* ; 4 ExtHiTVDelay */
4045         0x22,           /* ; 5 StHiTVDelay */
4046         0x22,           /* ; 6 ExtYPbPrDelay(525i) */
4047         0x22,           /* ; 7 StYPbPrDealy(525i) */
4048         0x22,           /* ; 8 ExtYPbPrDelay(525p) */
4049         0x22,           /* ; 9 StYPbPrDealy(525p) */
4050         0x22,           /* ; A ExtYPbPrDelay(750p) */
4051         0x22            /* ; B StYPbPrDealy(750p) */
4052 };
4053
4054
4055 UCHAR TVAntiFlickList[]=
4056 {/* NTSCAntiFlicker */
4057                       0x04,           /* ; 0 Adaptive */
4058                       0x00,           /* ; 1 new anti-flicker ? */
4059 /* PALAntiFlicker */
4060                       0x04,           /* ; 0 Adaptive */
4061                       0x08,           /* ; 1 new anti-flicker ? */
4062 /* HiTVAntiFlicker */
4063                       0x04,           /* ; 0 ? */
4064                       0x00            /* ; 1 new anti-flicker ? */
4065 };
4066
4067
4068 UCHAR TVEdgeList[]=
4069 {
4070       0x00,            /* ; 0 NTSC No Edge enhance */
4071       0x04,            /* ; 1 NTSC Adaptive Edge enhance */
4072       0x00,            /* ; 0 PAL No Edge enhance */
4073       0x04,            /* ; 1 PAL Adaptive Edge enhance */
4074       0x00,            /* ; 0 HiTV */
4075       0x00             /* ; 1 HiTV */
4076 };
4077
4078 ULONG TVPhaseList[]=
4079 {      0x08BAED21, /* ; 0 NTSC phase */
4080        0x00E3052A, /* ; 1 PAL phase */
4081        0x9B2EE421, /* ; 2 PAL-M phase */
4082        0xBA3EF421, /* ; 3 PAL-N phase */
4083        0xA7A28B1E, /* ; 4 NTSC 1024x768 */
4084        0xE00A831E, /* ; 5 PAL-M 1024x768 */
4085        0x00000000, /* ; 6 reserved */
4086        0x00000000, /* ; 7 reserved */
4087        0xD67BF021, /* ; 8 NTSC phase */
4088        0xE986092A, /* ; 9 PAL phase */
4089        0xA4EFE621, /* ; A PAL-M phase */
4090        0x4694F621, /* ; B PAL-N phase */
4091        0x8BDE711C, /* ; C NTSC 1024x768 */
4092        0xE00A831E  /* ; D PAL-M 1024x768 */
4093 };
4094
4095 UCHAR NTSCYFilter1[]=
4096 {
4097                       0x00,0xF4,0x10,0x38     ,/* 0 : 320x text mode */
4098                       0x00,0xF4,0x10,0x38     ,/* 1 : 360x text mode */
4099                       0xEB,0x04,0x25,0x18     ,/* 2 : 640x text mode */
4100                       0xF1,0x04,0x1F,0x18     ,/* 3 : 720x text mode */
4101                       0x00,0xF4,0x10,0x38     ,/* 4 : 320x gra. mode */
4102                       0xEB,0x04,0x25,0x18     ,/* 5 : 640x gra. mode */
4103                       0xEB,0x15,0x25,0xF6     /* 6 : 800x gra. mode */
4104 };
4105
4106 UCHAR PALYFilter1[]=
4107 {
4108                       0x00,0xF4,0x10,0x38, /* 0 : 320x text mode */
4109                       0x00,0xF4,0x10,0x38     ,/* 1 : 360x text mode */
4110                       0xF1,0xF7,0x1F,0x32     ,/* 2 : 640x text mode */
4111                       0xF3,0x00,0x1D,0x20     ,/* 3 : 720x text mode */
4112                       0x00,0xF4,0x10,0x38     ,/* 4 : 320x gra. mode */
4113                       0xF1,0xF7,0x1F,0x32     ,/* 5 : 640x gra. mode */
4114                       0xFC,0xFB,0x14,0x2A     /* 6 : 800x gra. mode */
4115 };
4116
4117 UCHAR PALMYFilter1[]=
4118 {
4119                       0x00,0xF4,0x10,0x38, /* 0 : 320x text mode */
4120                       0x00,0xF4,0x10,0x38, /* 1 : 360x text mode */
4121                       0xEB,0x04,0x10,0x18, /* 2 : 640x text mode */
4122                       0xF7,0x06,0x19,0x14, /* 3 : 720x text mode */
4123                       0x00,0xF4,0x10,0x38, /* 4 : 320x gra. mode */
4124                       0xEB,0x04,0x25,0x18, /* 5 : 640x gra. mode */
4125                       0xEB,0x15,0x25,0xF6, /* 6 : 800x gra. mode */
4126                       0xFF,0xFF,0xFF,0xFF  /* End of Table */
4127 };
4128
4129 UCHAR PALNYFilter1[]=
4130 {
4131                       0x00,0xF4,0x10,0x38, /* 0 : 320x text mode */
4132                       0x00,0xF4,0x10,0x38, /* 1 : 360x text mode */
4133                       0xEB,0x04,0x10,0x18, /* 2 : 640x text mode */
4134                       0xF7,0x06,0x19,0x14, /* 3 : 720x text mode */
4135                       0x00,0xF4,0x10,0x38, /* 4 : 320x gra. mode */
4136                       0xEB,0x04,0x25,0x18, /* 5 : 640x gra. mode */
4137                       0xEB,0x15,0x25,0xF6, /* 6 : 800x gra. mode */
4138                       0xFF,0xFF,0xFF,0xFF  /* End of Table */
4139 };
4140
4141 UCHAR NTSCYFilter2[]=
4142 {
4143                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 0 : 320x text mode */
4144                       0x01,0x02,0xFE,0xF7,0x03,0x27,0x3C, /* 1 : 360x text mode */
4145                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 2 : 640x text mode */
4146                       0x01,0x02,0xFE,0xF7,0x03,0x27,0x3C, /* 3 : 720x text mode */
4147                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 4 : 320x gra. mode */
4148                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 5 : 640x gra. mode */
4149                       0x01,0x01,0xFC,0xF8,0x08,0x26,0x38, /* 6 : 800x gra. mode */
4150                       0xFF,0xFF,0xFC,0x00,0x0F,0x22,0x28  /* 7 : 1024xgra. mode */
4151 };
4152
4153 UCHAR PALYFilter2[]=
4154 {
4155                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 0 : 320x text mode */
4156                       0x01,0x02,0xFE,0xF7,0x03,0x27,0x3C, /* 1 : 360x text mode */
4157                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 2 : 640x text mode */
4158                       0x01,0x02,0xFE,0xF7,0x03,0x27,0x3C, /* 3 : 720x text mode */
4159                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 4 : 320x gra. mode */
4160                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 5 : 640x gra. mode */
4161                       0x01,0x01,0xFC,0xF8,0x08,0x26,0x38, /* 6 : 800x gra. mode */
4162                       0xFF,0xFF,0xFC,0x00,0x0F,0x22,0x28  /* 7 : 1024xgra. mode */
4163 };
4164
4165 UCHAR PALMYFilter2[]=
4166 {
4167                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 0 : 320x text mode */
4168                       0x01,0x02,0xFE,0xF7,0x03,0x27,0x3C, /* 1 : 360x text mode */
4169                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 2 : 640x text mode */
4170                       0x01,0x02,0xFE,0xF7,0x03,0x27,0x3C, /* 3 : 720x text mode */
4171                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 4 : 320x gra. mode */
4172                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 5 : 640x gra. mode */
4173                       0x01,0x01,0xFC,0xF8,0x08,0x26,0x38, /* 6 : 800x gra. mode */
4174                       0xFF,0xFF,0xFC,0x00,0x0F,0x22,0x28  /* 7 : 1024xgra. mode */
4175 };
4176
4177 UCHAR PALNYFilter2[]=
4178 {
4179                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 0 : 320x text mode */
4180                       0x01,0x02,0xFE,0xF7,0x03,0x27,0x3C, /* 1 : 360x text mode */
4181                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 2 : 640x text mode */
4182                       0x01,0x02,0xFE,0xF7,0x03,0x27,0x3C, /* 3 : 720x text mode */
4183                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 4 : 320x gra. mode */
4184                       0xFF,0x03,0x02,0xF6,0xFC,0x27,0x46, /* 5 : 640x gra. mode */
4185                       0x01,0x01,0xFC,0xF8,0x08,0x26,0x38, /* 6 : 800x gra. mode */
4186                       0xFF,0xFF,0xFC,0x00,0x0F,0x22,0x28  /* 7 : 1024xgra. mode */
4187 };
4188
4189 UCHAR XGI_NTSC1024AdjTime[]=
4190 {
4191       0xa7,0x07,0xf2,0x6e,0x17,0x8b,0x73,0x53,
4192       0x13,0x40,0x34,0xF4,0x63,0xBB,0xCC,0x7A,
4193       0x58,0xe4,0x73,0xd0,0x13
4194 };
4195
4196 XGI301C_Tap4TimingStruct HiTVTap4Timing[]=
4197 {
4198         {0,{
4199         0x00,0x20,0x00,0x00,0x7F,0x20,0x02,0x7F, /* ; C0-C7 */
4200         0x7D,0x20,0x04,0x7F,0x7D,0x1F,0x06,0x7E, /* ; C8-CF */
4201         0x7C,0x1D,0x09,0x7E,0x7C,0x1B,0x0B,0x7E, /* ; D0-D7 */
4202         0x7C,0x19,0x0E,0x7D,0x7C,0x17,0x11,0x7C, /* ; D8-DF */
4203         0x7C,0x14,0x14,0x7C,0x7C,0x11,0x17,0x7C, /* ; E0-E7 */
4204         0x7D,0x0E,0x19,0x7C,0x7E,0x0B,0x1B,0x7C, /* ; EA-EF */
4205         0x7E,0x09,0x1D,0x7C,0x7F,0x06,0x1F,0x7C, /* ; F0-F7 */
4206         0x7F,0x04,0x20,0x7D,0x00,0x02,0x20,0x7E  /* ; F8-FF */
4207         }
4208         }
4209 };
4210
4211 XGI301C_Tap4TimingStruct EnlargeTap4Timing[]=
4212 {
4213         {0,{
4214         0x00,0x20,0x00,0x00,0x7F,0x20,0x02,0x7F, /* ; C0-C7 */
4215         0x7D,0x20,0x04,0x7F,0x7D,0x1F,0x06,0x7E, /* ; C8-CF */
4216         0x7C,0x1D,0x09,0x7E,0x7C,0x1B,0x0B,0x7E, /* ; D0-D7 */
4217         0x7C,0x19,0x0E,0x7D,0x7C,0x17,0x11,0x7C, /* ; D8-DF */
4218         0x7C,0x14,0x14,0x7C,0x7C,0x11,0x17,0x7C, /* ; E0-E7 */
4219         0x7D,0x0E,0x19,0x7C,0x7E,0x0B,0x1B,0x7C, /* ; EA-EF */
4220         0x7E,0x09,0x1D,0x7C,0x7F,0x06,0x1F,0x7C, /* ; F0-F7 */
4221         0x7F,0x04,0x20,0x7D,0x00,0x02,0x20,0x7E   /* ; F8-FF */
4222         }
4223         }
4224 };
4225
4226 XGI301C_Tap4TimingStruct NoScaleTap4Timing[]=
4227 {
4228         {0,{
4229         0x00,0x20,0x00,0x00,0x7F,0x20,0x02,0x7F, /* ; C0-C7 */
4230         0x7D,0x20,0x04,0x7F,0x7D,0x1F,0x06,0x7E, /* ; C8-CF */
4231         0x7C,0x1D,0x09,0x7E,0x7C,0x1B,0x0B,0x7E, /* ; D0-D7 */
4232         0x7C,0x19,0x0E,0x7D,0x7C,0x17,0x11,0x7C, /* ; D8-DF */
4233         0x7C,0x14,0x14,0x7C,0x7C,0x11,0x17,0x7C, /* ; E0-E7 */
4234         0x7D,0x0E,0x19,0x7C,0x7E,0x0B,0x1B,0x7C, /* ; EA-EF */
4235         0x7E,0x09,0x1D,0x7C,0x7F,0x06,0x1F,0x7C, /* ; F0-F7 */
4236         0x7F,0x04,0x20,0x7D,0x00,0x02,0x20,0x7E  /* ; F8-FF */
4237         }
4238         }
4239 };
4240
4241 XGI301C_Tap4TimingStruct PALTap4Timing[]=
4242 {
4243         {600,  {
4244                 0x05,0x19,0x05,0x7D,0x03,0x19,0x06,0x7E, /* ; C0-C7 */
4245                 0x02,0x19,0x08,0x7D,0x01,0x18,0x0A,0x7D, /* ; C8-CF */
4246                 0x00,0x18,0x0C,0x7C,0x7F,0x17,0x0E,0x7C, /* ; D0-D7 */
4247                 0x7E,0x16,0x0F,0x7D,0x7E,0x14,0x11,0x7D, /* ; D8-DF */
4248                 0x7D,0x13,0x13,0x7D,0x7D,0x11,0x14,0x7E, /* ; E0-E7 */
4249                 0x7D,0x0F,0x16,0x7E,0x7D,0x0E,0x17,0x7E, /* ; EA-EF */
4250                 0x7D,0x0C,0x18,0x7F,0x7D,0x0A,0x18,0x01, /* ; F0-F7 */
4251                 0x7D,0x08,0x19,0x02,0x7D,0x06,0x19,0x04  /* ; F8-FF */
4252                 }
4253         },
4254         {768,   {
4255                 0x08,0x12,0x08,0x7E,0x07,0x12,0x09,0x7E, /* ; C0-C7 */
4256                 0x06,0x12,0x0A,0x7E,0x05,0x11,0x0B,0x7F, /* ; C8-CF */
4257                 0x04,0x11,0x0C,0x7F,0x03,0x11,0x0C,0x00, /* ; D0-D7 */
4258                 0x03,0x10,0x0D,0x00,0x02,0x0F,0x0E,0x01, /* ; D8-DF */
4259                 0x01,0x0F,0x0F,0x01,0x01,0x0E,0x0F,0x02, /* ; E0-E7 */
4260                 0x00,0x0D,0x10,0x03,0x7F,0x0C,0x11,0x04, /* ; EA-EF */
4261                 0x7F,0x0C,0x11,0x04,0x7F,0x0B,0x11,0x05, /* ; F0-F7 */
4262                 0x7E,0x0A,0x12,0x06,0x7E,0x09,0x12,0x07  /* ; F8-FF */
4263                 }
4264         },
4265         {0xFFFF,
4266                 {
4267                 0x04,0x1A,0x04,0x7E,0x02,0x1B,0x05,0x7E, /* ; C0-C7 */
4268                 0x01,0x1A,0x07,0x7E,0x00,0x1A,0x09,0x7D, /* ; C8-CF */
4269                 0x7F,0x19,0x0B,0x7D,0x7E,0x18,0x0D,0x7D, /* ; D0-D7 */
4270                 0x7D,0x17,0x10,0x7C,0x7D,0x15,0x12,0x7C, /* ; D8-DF */
4271                 0x7C,0x14,0x14,0x7C,0x7C,0x12,0x15,0x7D, /* ; E0-E7 */
4272                 0x7C,0x10,0x17,0x7D,0x7C,0x0D,0x18,0x7F, /* ; EA-EF */
4273                 0x7D,0x0B,0x19,0x7F,0x7D,0x09,0x1A,0x00, /* ; F0-F7 */
4274                 0x7D,0x07,0x1A,0x02,0x7E,0x05,0x1B,0x02  /* ; F8-FF */
4275                 }
4276         }
4277 };
4278
4279 XGI301C_Tap4TimingStruct NTSCTap4Timing[]=
4280 {
4281         {480,   {
4282                 0x04,0x1A,0x04,0x7E,0x03,0x1A,0x06,0x7D, /* ; C0-C7 */
4283                 0x01,0x1A,0x08,0x7D,0x00,0x19,0x0A,0x7D, /* ; C8-CF */
4284                 0x7F,0x19,0x0C,0x7C,0x7E,0x18,0x0E,0x7C, /* ; D0-D7 */
4285                 0x7E,0x17,0x10,0x7B,0x7D,0x15,0x12,0x7C, /* ; D8-DF */
4286                 0x7D,0x13,0x13,0x7D,0x7C,0x12,0x15,0x7D, /* ; E0-E7 */
4287                 0x7C,0x10,0x17,0x7D,0x7C,0x0E,0x18,0x7E, /* ; EA-EF */
4288                 0x7D,0x0C,0x19,0x7E,0x7D,0x0A,0x19,0x00, /* ; F0-F7 */
4289                 0x7D,0x08,0x1A,0x01,0x7E,0x06,0x1A,0x02  /* ; F8-FF */
4290                 }
4291         },
4292         {600,   {
4293                 0x07,0x14,0x07,0x7E,0x06,0x14,0x09,0x7D, /* ; C0-C7 */
4294                 0x05,0x14,0x0A,0x7D,0x04,0x13,0x0B,0x7E, /* ; C8-CF */
4295                 0x03,0x13,0x0C,0x7E,0x02,0x12,0x0D,0x7F, /* ; D0-D7 */
4296                 0x01,0x12,0x0E,0x7F,0x01,0x11,0x0F,0x7F, /* ; D8-DF */
4297                 0x01,0x10,0x10,0x00,0x7F,0x0F,0x11,0x01, /* ; E0-E7 */
4298                 0x7F,0x0E,0x12,0x01,0x7E,0x0D,0x12,0x03, /* ; EA-EF */
4299                 0x7E,0x0C,0x13,0x03,0x7E,0x0B,0x13,0x04, /* ; F0-F7 */
4300                 0x7E,0x0A,0x14,0x04,0x7D,0x09,0x14,0x06  /* ; F8-FF */
4301                 }
4302         },
4303         {0xFFFF,
4304                 {
4305                 0x09,0x0F,0x09,0x7F,0x08,0x0F,0x09,0x00, /* ; C0-C7 */
4306                 0x07,0x0F,0x0A,0x00,0x06,0x0F,0x0A,0x01, /* ; C8-CF */
4307                 0x06,0x0E,0x0B,0x01,0x05,0x0E,0x0B,0x02, /* ; D0-D7 */
4308                 0x04,0x0E,0x0C,0x02,0x04,0x0D,0x0C,0x03, /* ; D8-DF */
4309                 0x03,0x0D,0x0D,0x03,0x02,0x0C,0x0D,0x05, /* ; E0-E7 */
4310                 0x02,0x0C,0x0E,0x04,0x01,0x0B,0x0E,0x06, /* ; EA-EF */
4311                 0x01,0x0B,0x0E,0x06,0x00,0x0A,0x0F,0x07, /* ; F0-F7 */
4312                 0x00,0x0A,0x0F,0x07,0x00,0x09,0x0F,0x08  /* ; F8-FF */
4313                 }
4314         }
4315 };
4316
4317 XGI301C_Tap4TimingStruct YPbPr525pTap4Timing[]=
4318 {
4319         {480,   {
4320                 0x04,0x1A,0x04,0x7E,0x03,0x1A,0x06,0x7D, /* ; C0-C7 */
4321                 0x01,0x1A,0x08,0x7D,0x00,0x19,0x0A,0x7D, /* ; C8-CF */
4322                 0x7F,0x19,0x0C,0x7C,0x7E,0x18,0x0E,0x7C, /* ; D0-D7 */
4323                 0x7E,0x17,0x10,0x7B,0x7D,0x15,0x12,0x7C, /* ; D8-DF */
4324                 0x7D,0x13,0x13,0x7D,0x7C,0x12,0x15,0x7D, /* ; E0-E7 */
4325                 0x7C,0x10,0x17,0x7D,0x7C,0x0E,0x18,0x7E, /* ; EA-EF */
4326                 0x7D,0x0C,0x19,0x7E,0x7D,0x0A,0x19,0x00, /* ; F0-F7 */
4327                 0x7D,0x08,0x1A,0x01,0x7E,0x06,0x1A,0x02  /* ; F8-FF */
4328                 }
4329         },
4330         {600,   {
4331                 0x07,0x14,0x07,0x7E,0x06,0x14,0x09,0x7D, /* ; C0-C7 */
4332                 0x05,0x14,0x0A,0x7D,0x04,0x13,0x0B,0x7E, /* ; C8-CF */
4333                 0x03,0x13,0x0C,0x7E,0x02,0x12,0x0D,0x7F, /* ; D0-D7 */
4334                 0x01,0x12,0x0E,0x7F,0x01,0x11,0x0F,0x7F, /* ; D8-DF */
4335                 0x01,0x10,0x10,0x00,0x7F,0x0F,0x11,0x01, /* ; E0-E7 */
4336                 0x7F,0x0E,0x12,0x01,0x7E,0x0D,0x12,0x03, /* ; EA-EF */
4337                 0x7E,0x0C,0x13,0x03,0x7E,0x0B,0x13,0x04, /* ; F0-F7 */
4338                 0x7E,0x0A,0x14,0x04,0x7D,0x09,0x14,0x06  /* ; F8-FF */
4339                 }
4340         },
4341         {0xFFFF,
4342                 {
4343                 0x09,0x0F,0x09,0x7F,0x08,0x0F,0x09,0x00, /* ; C0-C7 */
4344                 0x07,0x0F,0x0A,0x00,0x06,0x0F,0x0A,0x01, /* ; C8-CF */
4345                 0x06,0x0E,0x0B,0x01,0x05,0x0E,0x0B,0x02, /* ; D0-D7 */
4346                 0x04,0x0E,0x0C,0x02,0x04,0x0D,0x0C,0x03, /* ; D8-DF */
4347                 0x03,0x0D,0x0D,0x03,0x02,0x0C,0x0D,0x05, /* ; E0-E7 */
4348                 0x02,0x0C,0x0E,0x04,0x01,0x0B,0x0E,0x06, /* ; EA-EF */
4349                 0x01,0x0B,0x0E,0x06,0x00,0x0A,0x0F,0x07, /* ; F0-F7 */
4350                 0x00,0x0A,0x0F,0x07,0x00,0x09,0x0F,0x08  /* ; F8-FF */
4351                 }
4352         }
4353 };
4354
4355 XGI301C_Tap4TimingStruct YPbPr525iTap4Timing[]=
4356 {
4357         {480,   {
4358                 0x04,0x1A,0x04,0x7E,0x03,0x1A,0x06,0x7D, /* ; C0-C7 */
4359                 0x01,0x1A,0x08,0x7D,0x00,0x19,0x0A,0x7D, /* ; C8-CF */
4360                 0x7F,0x19,0x0C,0x7C,0x7E,0x18,0x0E,0x7C, /* ; D0-D7 */
4361                 0x7E,0x17,0x10,0x7B,0x7D,0x15,0x12,0x7C, /* ; D8-DF */
4362                 0x7D,0x13,0x13,0x7D,0x7C,0x12,0x15,0x7D, /* ; E0-E7 */
4363                 0x7C,0x10,0x17,0x7D,0x7C,0x0E,0x18,0x7E, /* ; EA-EF */
4364                 0x7D,0x0C,0x19,0x7E,0x7D,0x0A,0x19,0x00, /* ; F0-F7 */
4365                 0x7D,0x08,0x1A,0x01,0x7E,0x06,0x1A,0x02  /* ; F8-FF */
4366                 }
4367         },
4368         {600,   {
4369                 0x07,0x14,0x07,0x7E,0x06,0x14,0x09,0x7D, /* ; C0-C7 */
4370                 0x05,0x14,0x0A,0x7D,0x04,0x13,0x0B,0x7E, /* ; C8-CF */
4371                 0x03,0x13,0x0C,0x7E,0x02,0x12,0x0D,0x7F, /* ; D0-D7 */
4372                 0x01,0x12,0x0E,0x7F,0x01,0x11,0x0F,0x7F, /* ; D8-DF */
4373                 0x01,0x10,0x10,0x00,0x7F,0x0F,0x11,0x01, /* ; E0-E7 */
4374                 0x7F,0x0E,0x12,0x01,0x7E,0x0D,0x12,0x03, /* ; EA-EF */
4375                 0x7E,0x0C,0x13,0x03,0x7E,0x0B,0x13,0x04, /* ; F0-F7 */
4376                 0x7E,0x0A,0x14,0x04,0x7D,0x09,0x14,0x06  /* ; F8-FF */
4377                 }
4378         },
4379         {0xFFFF,
4380                 {
4381                 0x09,0x0F,0x09,0x7F,0x08,0x0F,0x09,0x00, /* ; C0-C7 */
4382                 0x07,0x0F,0x0A,0x00,0x06,0x0F,0x0A,0x01, /* ; C8-CF */
4383                 0x06,0x0E,0x0B,0x01,0x05,0x0E,0x0B,0x02, /* ; D0-D7 */
4384                 0x04,0x0E,0x0C,0x02,0x04,0x0D,0x0C,0x03, /* ; D8-DF */
4385                 0x03,0x0D,0x0D,0x03,0x02,0x0C,0x0D,0x05, /* ; E0-E7 */
4386                 0x02,0x0C,0x0E,0x04,0x01,0x0B,0x0E,0x06, /* ; EA-EF */
4387                 0x01,0x0B,0x0E,0x06,0x00,0x0A,0x0F,0x07, /* ; F0-F7 */
4388                 0x00,0x0A,0x0F,0x07,0x00,0x09,0x0F,0x08  /* ; F8-FF */
4389                 }
4390         }
4391 };
4392
4393 XGI301C_Tap4TimingStruct YPbPr750pTap4Timing[]=
4394 {        {0xFFFF,
4395                {
4396                0x05,0x19,0x05,0x7D,0x03,0x19,0x06,0x7E, /* ; C0-C7 */
4397                0x02,0x19,0x08,0x7D,0x01,0x18,0x0A,0x7D, /* ; C8-CF */
4398                0x00,0x18,0x0C,0x7C,0x7F,0x17,0x0E,0x7C, /* ; D0-D7 */
4399                0x7E,0x16,0x0F,0x7D,0x7E,0x14,0x11,0x7D, /* ; D8-DF */
4400                0x7D,0x13,0x13,0x7D,0x7D,0x11,0x14,0x7E, /* ; E0-E7 */
4401                0x7D,0x0F,0x16,0x7E,0x7D,0x0E,0x17,0x7E, /* ; EA-EF */
4402                0x7D,0x0C,0x18,0x7F,0x7D,0x0A,0x18,0x01, /* ; F0-F7 */
4403                0x7D,0x08,0x19,0x02,0x7D,0x06,0x19,0x04 /* F8-FF */
4404                }
4405         }
4406 };