KVM: x86: use new CS.RPL as CPL during task switch
[pandora-kernel.git] / arch / x86 / kvm / emulate.c
1 /******************************************************************************
2  * emulate.c
3  *
4  * Generic x86 (32-bit and 64-bit) instruction decoder and emulator.
5  *
6  * Copyright (c) 2005 Keir Fraser
7  *
8  * Linux coding style, mod r/m decoder, segment base fixes, real-mode
9  * privileged instructions:
10  *
11  * Copyright (C) 2006 Qumranet
12  * Copyright 2010 Red Hat, Inc. and/or its affiliates.
13  *
14  *   Avi Kivity <avi@qumranet.com>
15  *   Yaniv Kamay <yaniv@qumranet.com>
16  *
17  * This work is licensed under the terms of the GNU GPL, version 2.  See
18  * the COPYING file in the top-level directory.
19  *
20  * From: xen-unstable 10676:af9809f51f81a3c43f276f00c81a52ef558afda4
21  */
22
23 #include <linux/kvm_host.h>
24 #include "kvm_cache_regs.h"
25 #include <linux/module.h>
26 #include <asm/kvm_emulate.h>
27
28 #include "x86.h"
29 #include "tss.h"
30
31 /*
32  * Operand types
33  */
34 #define OpNone             0ull
35 #define OpImplicit         1ull  /* No generic decode */
36 #define OpReg              2ull  /* Register */
37 #define OpMem              3ull  /* Memory */
38 #define OpAcc              4ull  /* Accumulator: AL/AX/EAX/RAX */
39 #define OpDI               5ull  /* ES:DI/EDI/RDI */
40 #define OpMem64            6ull  /* Memory, 64-bit */
41 #define OpImmUByte         7ull  /* Zero-extended 8-bit immediate */
42 #define OpDX               8ull  /* DX register */
43 #define OpCL               9ull  /* CL register (for shifts) */
44 #define OpImmByte         10ull  /* 8-bit sign extended immediate */
45 #define OpOne             11ull  /* Implied 1 */
46 #define OpImm             12ull  /* Sign extended immediate */
47 #define OpMem16           13ull  /* Memory operand (16-bit). */
48 #define OpMem32           14ull  /* Memory operand (32-bit). */
49 #define OpImmU            15ull  /* Immediate operand, zero extended */
50 #define OpSI              16ull  /* SI/ESI/RSI */
51 #define OpImmFAddr        17ull  /* Immediate far address */
52 #define OpMemFAddr        18ull  /* Far address in memory */
53 #define OpImmU16          19ull  /* Immediate operand, 16 bits, zero extended */
54 #define OpES              20ull  /* ES */
55 #define OpCS              21ull  /* CS */
56 #define OpSS              22ull  /* SS */
57 #define OpDS              23ull  /* DS */
58 #define OpFS              24ull  /* FS */
59 #define OpGS              25ull  /* GS */
60
61 #define OpBits             5  /* Width of operand field */
62 #define OpMask             ((1ull << OpBits) - 1)
63
64 /*
65  * Opcode effective-address decode tables.
66  * Note that we only emulate instructions that have at least one memory
67  * operand (excluding implicit stack references). We assume that stack
68  * references and instruction fetches will never occur in special memory
69  * areas that require emulation. So, for example, 'mov <imm>,<reg>' need
70  * not be handled.
71  */
72
73 /* Operand sizes: 8-bit operands or specified/overridden size. */
74 #define ByteOp      (1<<0)      /* 8-bit operands. */
75 /* Destination operand type. */
76 #define DstShift    1
77 #define ImplicitOps (OpImplicit << DstShift)
78 #define DstReg      (OpReg << DstShift)
79 #define DstMem      (OpMem << DstShift)
80 #define DstAcc      (OpAcc << DstShift)
81 #define DstDI       (OpDI << DstShift)
82 #define DstMem64    (OpMem64 << DstShift)
83 #define DstImmUByte (OpImmUByte << DstShift)
84 #define DstDX       (OpDX << DstShift)
85 #define DstMask     (OpMask << DstShift)
86 /* Source operand type. */
87 #define SrcShift    6
88 #define SrcNone     (OpNone << SrcShift)
89 #define SrcReg      (OpReg << SrcShift)
90 #define SrcMem      (OpMem << SrcShift)
91 #define SrcMem16    (OpMem16 << SrcShift)
92 #define SrcMem32    (OpMem32 << SrcShift)
93 #define SrcImm      (OpImm << SrcShift)
94 #define SrcImmByte  (OpImmByte << SrcShift)
95 #define SrcOne      (OpOne << SrcShift)
96 #define SrcImmUByte (OpImmUByte << SrcShift)
97 #define SrcImmU     (OpImmU << SrcShift)
98 #define SrcSI       (OpSI << SrcShift)
99 #define SrcImmFAddr (OpImmFAddr << SrcShift)
100 #define SrcMemFAddr (OpMemFAddr << SrcShift)
101 #define SrcAcc      (OpAcc << SrcShift)
102 #define SrcImmU16   (OpImmU16 << SrcShift)
103 #define SrcDX       (OpDX << SrcShift)
104 #define SrcMask     (OpMask << SrcShift)
105 #define BitOp       (1<<11)
106 #define MemAbs      (1<<12)      /* Memory operand is absolute displacement */
107 #define String      (1<<13)     /* String instruction (rep capable) */
108 #define Stack       (1<<14)     /* Stack instruction (push/pop) */
109 #define GroupMask   (7<<15)     /* Opcode uses one of the group mechanisms */
110 #define Group       (1<<15)     /* Bits 3:5 of modrm byte extend opcode */
111 #define GroupDual   (2<<15)     /* Alternate decoding of mod == 3 */
112 #define Prefix      (3<<15)     /* Instruction varies with 66/f2/f3 prefix */
113 #define RMExt       (4<<15)     /* Opcode extension in ModRM r/m if mod == 3 */
114 #define Sse         (1<<18)     /* SSE Vector instruction */
115 /* Generic ModRM decode. */
116 #define ModRM       (1<<19)
117 /* Destination is only written; never read. */
118 #define Mov         (1<<20)
119 /* Misc flags */
120 #define Prot        (1<<21) /* instruction generates #UD if not in prot-mode */
121 #define VendorSpecific (1<<22) /* Vendor specific instruction */
122 #define NoAccess    (1<<23) /* Don't access memory (lea/invlpg/verr etc) */
123 #define Op3264      (1<<24) /* Operand is 64b in long mode, 32b otherwise */
124 #define Undefined   (1<<25) /* No Such Instruction */
125 #define Lock        (1<<26) /* lock prefix is allowed for the instruction */
126 #define Priv        (1<<27) /* instruction generates #GP if current CPL != 0 */
127 #define No64        (1<<28)
128 /* Source 2 operand type */
129 #define Src2Shift   (29)
130 #define Src2None    (OpNone << Src2Shift)
131 #define Src2CL      (OpCL << Src2Shift)
132 #define Src2ImmByte (OpImmByte << Src2Shift)
133 #define Src2One     (OpOne << Src2Shift)
134 #define Src2Imm     (OpImm << Src2Shift)
135 #define Src2ES      (OpES << Src2Shift)
136 #define Src2CS      (OpCS << Src2Shift)
137 #define Src2SS      (OpSS << Src2Shift)
138 #define Src2DS      (OpDS << Src2Shift)
139 #define Src2FS      (OpFS << Src2Shift)
140 #define Src2GS      (OpGS << Src2Shift)
141 #define Src2Mask    (OpMask << Src2Shift)
142
143 #define X2(x...) x, x
144 #define X3(x...) X2(x), x
145 #define X4(x...) X2(x), X2(x)
146 #define X5(x...) X4(x), x
147 #define X6(x...) X4(x), X2(x)
148 #define X7(x...) X4(x), X3(x)
149 #define X8(x...) X4(x), X4(x)
150 #define X16(x...) X8(x), X8(x)
151
152 struct opcode {
153         u64 flags : 56;
154         u64 intercept : 8;
155         union {
156                 int (*execute)(struct x86_emulate_ctxt *ctxt);
157                 struct opcode *group;
158                 struct group_dual *gdual;
159                 struct gprefix *gprefix;
160         } u;
161         int (*check_perm)(struct x86_emulate_ctxt *ctxt);
162 };
163
164 struct group_dual {
165         struct opcode mod012[8];
166         struct opcode mod3[8];
167 };
168
169 struct gprefix {
170         struct opcode pfx_no;
171         struct opcode pfx_66;
172         struct opcode pfx_f2;
173         struct opcode pfx_f3;
174 };
175
176 /* EFLAGS bit definitions. */
177 #define EFLG_ID (1<<21)
178 #define EFLG_VIP (1<<20)
179 #define EFLG_VIF (1<<19)
180 #define EFLG_AC (1<<18)
181 #define EFLG_VM (1<<17)
182 #define EFLG_RF (1<<16)
183 #define EFLG_IOPL (3<<12)
184 #define EFLG_NT (1<<14)
185 #define EFLG_OF (1<<11)
186 #define EFLG_DF (1<<10)
187 #define EFLG_IF (1<<9)
188 #define EFLG_TF (1<<8)
189 #define EFLG_SF (1<<7)
190 #define EFLG_ZF (1<<6)
191 #define EFLG_AF (1<<4)
192 #define EFLG_PF (1<<2)
193 #define EFLG_CF (1<<0)
194
195 #define EFLG_RESERVED_ZEROS_MASK 0xffc0802a
196 #define EFLG_RESERVED_ONE_MASK 2
197
198 /*
199  * Instruction emulation:
200  * Most instructions are emulated directly via a fragment of inline assembly
201  * code. This allows us to save/restore EFLAGS and thus very easily pick up
202  * any modified flags.
203  */
204
205 #if defined(CONFIG_X86_64)
206 #define _LO32 "k"               /* force 32-bit operand */
207 #define _STK  "%%rsp"           /* stack pointer */
208 #elif defined(__i386__)
209 #define _LO32 ""                /* force 32-bit operand */
210 #define _STK  "%%esp"           /* stack pointer */
211 #endif
212
213 /*
214  * These EFLAGS bits are restored from saved value during emulation, and
215  * any changes are written back to the saved value after emulation.
216  */
217 #define EFLAGS_MASK (EFLG_OF|EFLG_SF|EFLG_ZF|EFLG_AF|EFLG_PF|EFLG_CF)
218
219 /* Before executing instruction: restore necessary bits in EFLAGS. */
220 #define _PRE_EFLAGS(_sav, _msk, _tmp)                                   \
221         /* EFLAGS = (_sav & _msk) | (EFLAGS & ~_msk); _sav &= ~_msk; */ \
222         "movl %"_sav",%"_LO32 _tmp"; "                                  \
223         "push %"_tmp"; "                                                \
224         "push %"_tmp"; "                                                \
225         "movl %"_msk",%"_LO32 _tmp"; "                                  \
226         "andl %"_LO32 _tmp",("_STK"); "                                 \
227         "pushf; "                                                       \
228         "notl %"_LO32 _tmp"; "                                          \
229         "andl %"_LO32 _tmp",("_STK"); "                                 \
230         "andl %"_LO32 _tmp","__stringify(BITS_PER_LONG/4)"("_STK"); "   \
231         "pop  %"_tmp"; "                                                \
232         "orl  %"_LO32 _tmp",("_STK"); "                                 \
233         "popf; "                                                        \
234         "pop  %"_sav"; "
235
236 /* After executing instruction: write-back necessary bits in EFLAGS. */
237 #define _POST_EFLAGS(_sav, _msk, _tmp) \
238         /* _sav |= EFLAGS & _msk; */            \
239         "pushf; "                               \
240         "pop  %"_tmp"; "                        \
241         "andl %"_msk",%"_LO32 _tmp"; "          \
242         "orl  %"_LO32 _tmp",%"_sav"; "
243
244 #ifdef CONFIG_X86_64
245 #define ON64(x) x
246 #else
247 #define ON64(x)
248 #endif
249
250 #define ____emulate_2op(ctxt, _op, _x, _y, _suffix, _dsttype)   \
251         do {                                                            \
252                 __asm__ __volatile__ (                                  \
253                         _PRE_EFLAGS("0", "4", "2")                      \
254                         _op _suffix " %"_x"3,%1; "                      \
255                         _POST_EFLAGS("0", "4", "2")                     \
256                         : "=m" ((ctxt)->eflags),                        \
257                           "+q" (*(_dsttype*)&(ctxt)->dst.val),          \
258                           "=&r" (_tmp)                                  \
259                         : _y ((ctxt)->src.val), "i" (EFLAGS_MASK));     \
260         } while (0)
261
262
263 /* Raw emulation: instruction has two explicit operands. */
264 #define __emulate_2op_nobyte(ctxt,_op,_wx,_wy,_lx,_ly,_qx,_qy)          \
265         do {                                                            \
266                 unsigned long _tmp;                                     \
267                                                                         \
268                 switch ((ctxt)->dst.bytes) {                            \
269                 case 2:                                                 \
270                         ____emulate_2op(ctxt,_op,_wx,_wy,"w",u16);      \
271                         break;                                          \
272                 case 4:                                                 \
273                         ____emulate_2op(ctxt,_op,_lx,_ly,"l",u32);      \
274                         break;                                          \
275                 case 8:                                                 \
276                         ON64(____emulate_2op(ctxt,_op,_qx,_qy,"q",u64)); \
277                         break;                                          \
278                 }                                                       \
279         } while (0)
280
281 #define __emulate_2op(ctxt,_op,_bx,_by,_wx,_wy,_lx,_ly,_qx,_qy)              \
282         do {                                                                 \
283                 unsigned long _tmp;                                          \
284                 switch ((ctxt)->dst.bytes) {                                 \
285                 case 1:                                                      \
286                         ____emulate_2op(ctxt,_op,_bx,_by,"b",u8);            \
287                         break;                                               \
288                 default:                                                     \
289                         __emulate_2op_nobyte(ctxt, _op,                      \
290                                              _wx, _wy, _lx, _ly, _qx, _qy);  \
291                         break;                                               \
292                 }                                                            \
293         } while (0)
294
295 /* Source operand is byte-sized and may be restricted to just %cl. */
296 #define emulate_2op_SrcB(ctxt, _op)                                     \
297         __emulate_2op(ctxt, _op, "b", "c", "b", "c", "b", "c", "b", "c")
298
299 /* Source operand is byte, word, long or quad sized. */
300 #define emulate_2op_SrcV(ctxt, _op)                                     \
301         __emulate_2op(ctxt, _op, "b", "q", "w", "r", _LO32, "r", "", "r")
302
303 /* Source operand is word, long or quad sized. */
304 #define emulate_2op_SrcV_nobyte(ctxt, _op)                              \
305         __emulate_2op_nobyte(ctxt, _op, "w", "r", _LO32, "r", "", "r")
306
307 /* Instruction has three operands and one operand is stored in ECX register */
308 #define __emulate_2op_cl(ctxt, _op, _suffix, _type)             \
309         do {                                                            \
310                 unsigned long _tmp;                                     \
311                 _type _clv  = (ctxt)->src2.val;                         \
312                 _type _srcv = (ctxt)->src.val;                          \
313                 _type _dstv = (ctxt)->dst.val;                          \
314                                                                         \
315                 __asm__ __volatile__ (                                  \
316                         _PRE_EFLAGS("0", "5", "2")                      \
317                         _op _suffix " %4,%1 \n"                         \
318                         _POST_EFLAGS("0", "5", "2")                     \
319                         : "=m" ((ctxt)->eflags), "+r" (_dstv), "=&r" (_tmp) \
320                         : "c" (_clv) , "r" (_srcv), "i" (EFLAGS_MASK)   \
321                         );                                              \
322                                                                         \
323                 (ctxt)->src2.val  = (unsigned long) _clv;               \
324                 (ctxt)->src2.val = (unsigned long) _srcv;               \
325                 (ctxt)->dst.val = (unsigned long) _dstv;                \
326         } while (0)
327
328 #define emulate_2op_cl(ctxt, _op)                                       \
329         do {                                                            \
330                 switch ((ctxt)->dst.bytes) {                            \
331                 case 2:                                                 \
332                         __emulate_2op_cl(ctxt, _op, "w", u16);          \
333                         break;                                          \
334                 case 4:                                                 \
335                         __emulate_2op_cl(ctxt, _op, "l", u32);          \
336                         break;                                          \
337                 case 8:                                                 \
338                         ON64(__emulate_2op_cl(ctxt, _op, "q", ulong));  \
339                         break;                                          \
340                 }                                                       \
341         } while (0)
342
343 #define __emulate_1op(ctxt, _op, _suffix)                               \
344         do {                                                            \
345                 unsigned long _tmp;                                     \
346                                                                         \
347                 __asm__ __volatile__ (                                  \
348                         _PRE_EFLAGS("0", "3", "2")                      \
349                         _op _suffix " %1; "                             \
350                         _POST_EFLAGS("0", "3", "2")                     \
351                         : "=m" ((ctxt)->eflags), "+m" ((ctxt)->dst.val), \
352                           "=&r" (_tmp)                                  \
353                         : "i" (EFLAGS_MASK));                           \
354         } while (0)
355
356 /* Instruction has only one explicit operand (no source operand). */
357 #define emulate_1op(ctxt, _op)                                          \
358         do {                                                            \
359                 switch ((ctxt)->dst.bytes) {                            \
360                 case 1: __emulate_1op(ctxt, _op, "b"); break;           \
361                 case 2: __emulate_1op(ctxt, _op, "w"); break;           \
362                 case 4: __emulate_1op(ctxt, _op, "l"); break;           \
363                 case 8: ON64(__emulate_1op(ctxt, _op, "q")); break;     \
364                 }                                                       \
365         } while (0)
366
367 #define __emulate_1op_rax_rdx(ctxt, _op, _suffix, _ex)                  \
368         do {                                                            \
369                 unsigned long _tmp;                                     \
370                 ulong *rax = &(ctxt)->regs[VCPU_REGS_RAX];              \
371                 ulong *rdx = &(ctxt)->regs[VCPU_REGS_RDX];              \
372                                                                         \
373                 __asm__ __volatile__ (                                  \
374                         _PRE_EFLAGS("0", "5", "1")                      \
375                         "1: \n\t"                                       \
376                         _op _suffix " %6; "                             \
377                         "2: \n\t"                                       \
378                         _POST_EFLAGS("0", "5", "1")                     \
379                         ".pushsection .fixup,\"ax\" \n\t"               \
380                         "3: movb $1, %4 \n\t"                           \
381                         "jmp 2b \n\t"                                   \
382                         ".popsection \n\t"                              \
383                         _ASM_EXTABLE(1b, 3b)                            \
384                         : "=m" ((ctxt)->eflags), "=&r" (_tmp),          \
385                           "+a" (*rax), "+d" (*rdx), "+qm"(_ex)          \
386                         : "i" (EFLAGS_MASK), "m" ((ctxt)->src.val),     \
387                           "a" (*rax), "d" (*rdx));                      \
388         } while (0)
389
390 /* instruction has only one source operand, destination is implicit (e.g. mul, div, imul, idiv) */
391 #define emulate_1op_rax_rdx(ctxt, _op, _ex)     \
392         do {                                                            \
393                 switch((ctxt)->src.bytes) {                             \
394                 case 1:                                                 \
395                         __emulate_1op_rax_rdx(ctxt, _op, "b", _ex);     \
396                         break;                                          \
397                 case 2:                                                 \
398                         __emulate_1op_rax_rdx(ctxt, _op, "w", _ex);     \
399                         break;                                          \
400                 case 4:                                                 \
401                         __emulate_1op_rax_rdx(ctxt, _op, "l", _ex);     \
402                         break;                                          \
403                 case 8: ON64(                                           \
404                         __emulate_1op_rax_rdx(ctxt, _op, "q", _ex));    \
405                         break;                                          \
406                 }                                                       \
407         } while (0)
408
409 static int emulator_check_intercept(struct x86_emulate_ctxt *ctxt,
410                                     enum x86_intercept intercept,
411                                     enum x86_intercept_stage stage)
412 {
413         struct x86_instruction_info info = {
414                 .intercept  = intercept,
415                 .rep_prefix = ctxt->rep_prefix,
416                 .modrm_mod  = ctxt->modrm_mod,
417                 .modrm_reg  = ctxt->modrm_reg,
418                 .modrm_rm   = ctxt->modrm_rm,
419                 .src_val    = ctxt->src.val64,
420                 .src_bytes  = ctxt->src.bytes,
421                 .dst_bytes  = ctxt->dst.bytes,
422                 .ad_bytes   = ctxt->ad_bytes,
423                 .next_rip   = ctxt->eip,
424         };
425
426         return ctxt->ops->intercept(ctxt, &info, stage);
427 }
428
429 static inline unsigned long ad_mask(struct x86_emulate_ctxt *ctxt)
430 {
431         return (1UL << (ctxt->ad_bytes << 3)) - 1;
432 }
433
434 /* Access/update address held in a register, based on addressing mode. */
435 static inline unsigned long
436 address_mask(struct x86_emulate_ctxt *ctxt, unsigned long reg)
437 {
438         if (ctxt->ad_bytes == sizeof(unsigned long))
439                 return reg;
440         else
441                 return reg & ad_mask(ctxt);
442 }
443
444 static inline unsigned long
445 register_address(struct x86_emulate_ctxt *ctxt, unsigned long reg)
446 {
447         return address_mask(ctxt, reg);
448 }
449
450 static inline void
451 register_address_increment(struct x86_emulate_ctxt *ctxt, unsigned long *reg, int inc)
452 {
453         if (ctxt->ad_bytes == sizeof(unsigned long))
454                 *reg += inc;
455         else
456                 *reg = (*reg & ~ad_mask(ctxt)) | ((*reg + inc) & ad_mask(ctxt));
457 }
458
459 static u32 desc_limit_scaled(struct desc_struct *desc)
460 {
461         u32 limit = get_desc_limit(desc);
462
463         return desc->g ? (limit << 12) | 0xfff : limit;
464 }
465
466 static void set_seg_override(struct x86_emulate_ctxt *ctxt, int seg)
467 {
468         ctxt->has_seg_override = true;
469         ctxt->seg_override = seg;
470 }
471
472 static unsigned long seg_base(struct x86_emulate_ctxt *ctxt, int seg)
473 {
474         if (ctxt->mode == X86EMUL_MODE_PROT64 && seg < VCPU_SREG_FS)
475                 return 0;
476
477         return ctxt->ops->get_cached_segment_base(ctxt, seg);
478 }
479
480 static unsigned seg_override(struct x86_emulate_ctxt *ctxt)
481 {
482         if (!ctxt->has_seg_override)
483                 return 0;
484
485         return ctxt->seg_override;
486 }
487
488 static int emulate_exception(struct x86_emulate_ctxt *ctxt, int vec,
489                              u32 error, bool valid)
490 {
491         ctxt->exception.vector = vec;
492         ctxt->exception.error_code = error;
493         ctxt->exception.error_code_valid = valid;
494         return X86EMUL_PROPAGATE_FAULT;
495 }
496
497 static int emulate_db(struct x86_emulate_ctxt *ctxt)
498 {
499         return emulate_exception(ctxt, DB_VECTOR, 0, false);
500 }
501
502 static int emulate_gp(struct x86_emulate_ctxt *ctxt, int err)
503 {
504         return emulate_exception(ctxt, GP_VECTOR, err, true);
505 }
506
507 static int emulate_ss(struct x86_emulate_ctxt *ctxt, int err)
508 {
509         return emulate_exception(ctxt, SS_VECTOR, err, true);
510 }
511
512 static int emulate_ud(struct x86_emulate_ctxt *ctxt)
513 {
514         return emulate_exception(ctxt, UD_VECTOR, 0, false);
515 }
516
517 static int emulate_ts(struct x86_emulate_ctxt *ctxt, int err)
518 {
519         return emulate_exception(ctxt, TS_VECTOR, err, true);
520 }
521
522 static int emulate_de(struct x86_emulate_ctxt *ctxt)
523 {
524         return emulate_exception(ctxt, DE_VECTOR, 0, false);
525 }
526
527 static int emulate_nm(struct x86_emulate_ctxt *ctxt)
528 {
529         return emulate_exception(ctxt, NM_VECTOR, 0, false);
530 }
531
532 static inline int assign_eip_far(struct x86_emulate_ctxt *ctxt, ulong dst,
533                                int cs_l)
534 {
535         switch (ctxt->op_bytes) {
536         case 2:
537                 ctxt->_eip = (u16)dst;
538                 break;
539         case 4:
540                 ctxt->_eip = (u32)dst;
541                 break;
542         case 8:
543                 if ((cs_l && is_noncanonical_address(dst)) ||
544                     (!cs_l && (dst & ~(u32)-1)))
545                         return emulate_gp(ctxt, 0);
546                 ctxt->_eip = dst;
547                 break;
548         default:
549                 WARN(1, "unsupported eip assignment size\n");
550         }
551         return X86EMUL_CONTINUE;
552 }
553
554 static inline int assign_eip_near(struct x86_emulate_ctxt *ctxt, ulong dst)
555 {
556         return assign_eip_far(ctxt, dst, ctxt->mode == X86EMUL_MODE_PROT64);
557 }
558
559 static inline int jmp_rel(struct x86_emulate_ctxt *ctxt, int rel)
560 {
561         return assign_eip_near(ctxt, ctxt->_eip + rel);
562 }
563
564 static u16 get_segment_selector(struct x86_emulate_ctxt *ctxt, unsigned seg)
565 {
566         u16 selector;
567         struct desc_struct desc;
568
569         ctxt->ops->get_segment(ctxt, &selector, &desc, NULL, seg);
570         return selector;
571 }
572
573 static void set_segment_selector(struct x86_emulate_ctxt *ctxt, u16 selector,
574                                  unsigned seg)
575 {
576         u16 dummy;
577         u32 base3;
578         struct desc_struct desc;
579
580         ctxt->ops->get_segment(ctxt, &dummy, &desc, &base3, seg);
581         ctxt->ops->set_segment(ctxt, selector, &desc, base3, seg);
582 }
583
584 static int __linearize(struct x86_emulate_ctxt *ctxt,
585                      struct segmented_address addr,
586                      unsigned size, bool write, bool fetch,
587                      ulong *linear)
588 {
589         struct desc_struct desc;
590         bool usable;
591         ulong la;
592         u32 lim;
593         u16 sel;
594         unsigned cpl, rpl;
595
596         la = seg_base(ctxt, addr.seg) + addr.ea;
597         switch (ctxt->mode) {
598         case X86EMUL_MODE_REAL:
599                 break;
600         case X86EMUL_MODE_PROT64:
601                 if (((signed long)la << 16) >> 16 != la)
602                         return emulate_gp(ctxt, 0);
603                 break;
604         default:
605                 usable = ctxt->ops->get_segment(ctxt, &sel, &desc, NULL,
606                                                 addr.seg);
607                 if (!usable)
608                         goto bad;
609                 /* code segment or read-only data segment */
610                 if (((desc.type & 8) || !(desc.type & 2)) && write)
611                         goto bad;
612                 /* unreadable code segment */
613                 if (!fetch && (desc.type & 8) && !(desc.type & 2))
614                         goto bad;
615                 lim = desc_limit_scaled(&desc);
616                 if ((desc.type & 8) || !(desc.type & 4)) {
617                         /* expand-up segment */
618                         if (addr.ea > lim || (u32)(addr.ea + size - 1) > lim)
619                                 goto bad;
620                 } else {
621                         /* exapand-down segment */
622                         if (addr.ea <= lim || (u32)(addr.ea + size - 1) <= lim)
623                                 goto bad;
624                         lim = desc.d ? 0xffffffff : 0xffff;
625                         if (addr.ea > lim || (u32)(addr.ea + size - 1) > lim)
626                                 goto bad;
627                 }
628                 cpl = ctxt->ops->cpl(ctxt);
629                 rpl = sel & 3;
630                 cpl = max(cpl, rpl);
631                 if (!(desc.type & 8)) {
632                         /* data segment */
633                         if (cpl > desc.dpl)
634                                 goto bad;
635                 } else if ((desc.type & 8) && !(desc.type & 4)) {
636                         /* nonconforming code segment */
637                         if (cpl != desc.dpl)
638                                 goto bad;
639                 } else if ((desc.type & 8) && (desc.type & 4)) {
640                         /* conforming code segment */
641                         if (cpl < desc.dpl)
642                                 goto bad;
643                 }
644                 break;
645         }
646         if (fetch ? ctxt->mode != X86EMUL_MODE_PROT64 : ctxt->ad_bytes != 8)
647                 la &= (u32)-1;
648         *linear = la;
649         return X86EMUL_CONTINUE;
650 bad:
651         if (addr.seg == VCPU_SREG_SS)
652                 return emulate_ss(ctxt, addr.seg);
653         else
654                 return emulate_gp(ctxt, addr.seg);
655 }
656
657 static int linearize(struct x86_emulate_ctxt *ctxt,
658                      struct segmented_address addr,
659                      unsigned size, bool write,
660                      ulong *linear)
661 {
662         return __linearize(ctxt, addr, size, write, false, linear);
663 }
664
665
666 static int segmented_read_std(struct x86_emulate_ctxt *ctxt,
667                               struct segmented_address addr,
668                               void *data,
669                               unsigned size)
670 {
671         int rc;
672         ulong linear;
673
674         rc = linearize(ctxt, addr, size, false, &linear);
675         if (rc != X86EMUL_CONTINUE)
676                 return rc;
677         return ctxt->ops->read_std(ctxt, linear, data, size, &ctxt->exception);
678 }
679
680 /*
681  * Fetch the next byte of the instruction being emulated which is pointed to
682  * by ctxt->_eip, then increment ctxt->_eip.
683  *
684  * Also prefetch the remaining bytes of the instruction without crossing page
685  * boundary if they are not in fetch_cache yet.
686  */
687 static int do_insn_fetch_byte(struct x86_emulate_ctxt *ctxt, u8 *dest)
688 {
689         struct fetch_cache *fc = &ctxt->fetch;
690         int rc;
691         int size, cur_size;
692
693         if (ctxt->_eip == fc->end) {
694                 unsigned long linear;
695                 struct segmented_address addr = { .seg = VCPU_SREG_CS,
696                                                   .ea  = ctxt->_eip };
697                 cur_size = fc->end - fc->start;
698                 size = min(15UL - cur_size,
699                            PAGE_SIZE - offset_in_page(ctxt->_eip));
700                 rc = __linearize(ctxt, addr, size, false, true, &linear);
701                 if (unlikely(rc != X86EMUL_CONTINUE))
702                         return rc;
703                 rc = ctxt->ops->fetch(ctxt, linear, fc->data + cur_size,
704                                       size, &ctxt->exception);
705                 if (unlikely(rc != X86EMUL_CONTINUE))
706                         return rc;
707                 fc->end += size;
708         }
709         *dest = fc->data[ctxt->_eip - fc->start];
710         ctxt->_eip++;
711         return X86EMUL_CONTINUE;
712 }
713
714 static int do_insn_fetch(struct x86_emulate_ctxt *ctxt,
715                          void *dest, unsigned size)
716 {
717         int rc;
718
719         /* x86 instructions are limited to 15 bytes. */
720         if (unlikely(ctxt->_eip + size - ctxt->eip > 15))
721                 return X86EMUL_UNHANDLEABLE;
722         while (size--) {
723                 rc = do_insn_fetch_byte(ctxt, dest++);
724                 if (rc != X86EMUL_CONTINUE)
725                         return rc;
726         }
727         return X86EMUL_CONTINUE;
728 }
729
730 /* Fetch next part of the instruction being emulated. */
731 #define insn_fetch(_type, _ctxt)                                        \
732 ({      unsigned long _x;                                               \
733         rc = do_insn_fetch(_ctxt, &_x, sizeof(_type));                  \
734         if (rc != X86EMUL_CONTINUE)                                     \
735                 goto done;                                              \
736         (_type)_x;                                                      \
737 })
738
739 #define insn_fetch_arr(_arr, _size, _ctxt)                              \
740 ({      rc = do_insn_fetch(_ctxt, _arr, (_size));                       \
741         if (rc != X86EMUL_CONTINUE)                                     \
742                 goto done;                                              \
743 })
744
745 /*
746  * Given the 'reg' portion of a ModRM byte, and a register block, return a
747  * pointer into the block that addresses the relevant register.
748  * @highbyte_regs specifies whether to decode AH,CH,DH,BH.
749  */
750 static void *decode_register(u8 modrm_reg, unsigned long *regs,
751                              int highbyte_regs)
752 {
753         void *p;
754
755         p = &regs[modrm_reg];
756         if (highbyte_regs && modrm_reg >= 4 && modrm_reg < 8)
757                 p = (unsigned char *)&regs[modrm_reg & 3] + 1;
758         return p;
759 }
760
761 static int read_descriptor(struct x86_emulate_ctxt *ctxt,
762                            struct segmented_address addr,
763                            u16 *size, unsigned long *address, int op_bytes)
764 {
765         int rc;
766
767         if (op_bytes == 2)
768                 op_bytes = 3;
769         *address = 0;
770         rc = segmented_read_std(ctxt, addr, size, 2);
771         if (rc != X86EMUL_CONTINUE)
772                 return rc;
773         addr.ea += 2;
774         rc = segmented_read_std(ctxt, addr, address, op_bytes);
775         return rc;
776 }
777
778 static int test_cc(unsigned int condition, unsigned int flags)
779 {
780         int rc = 0;
781
782         switch ((condition & 15) >> 1) {
783         case 0: /* o */
784                 rc |= (flags & EFLG_OF);
785                 break;
786         case 1: /* b/c/nae */
787                 rc |= (flags & EFLG_CF);
788                 break;
789         case 2: /* z/e */
790                 rc |= (flags & EFLG_ZF);
791                 break;
792         case 3: /* be/na */
793                 rc |= (flags & (EFLG_CF|EFLG_ZF));
794                 break;
795         case 4: /* s */
796                 rc |= (flags & EFLG_SF);
797                 break;
798         case 5: /* p/pe */
799                 rc |= (flags & EFLG_PF);
800                 break;
801         case 7: /* le/ng */
802                 rc |= (flags & EFLG_ZF);
803                 /* fall through */
804         case 6: /* l/nge */
805                 rc |= (!(flags & EFLG_SF) != !(flags & EFLG_OF));
806                 break;
807         }
808
809         /* Odd condition identifiers (lsb == 1) have inverted sense. */
810         return (!!rc ^ (condition & 1));
811 }
812
813 static void fetch_register_operand(struct operand *op)
814 {
815         switch (op->bytes) {
816         case 1:
817                 op->val = *(u8 *)op->addr.reg;
818                 break;
819         case 2:
820                 op->val = *(u16 *)op->addr.reg;
821                 break;
822         case 4:
823                 op->val = *(u32 *)op->addr.reg;
824                 break;
825         case 8:
826                 op->val = *(u64 *)op->addr.reg;
827                 break;
828         }
829 }
830
831 static void read_sse_reg(struct x86_emulate_ctxt *ctxt, sse128_t *data, int reg)
832 {
833         ctxt->ops->get_fpu(ctxt);
834         switch (reg) {
835         case 0: asm("movdqu %%xmm0, %0" : "=m"(*data)); break;
836         case 1: asm("movdqu %%xmm1, %0" : "=m"(*data)); break;
837         case 2: asm("movdqu %%xmm2, %0" : "=m"(*data)); break;
838         case 3: asm("movdqu %%xmm3, %0" : "=m"(*data)); break;
839         case 4: asm("movdqu %%xmm4, %0" : "=m"(*data)); break;
840         case 5: asm("movdqu %%xmm5, %0" : "=m"(*data)); break;
841         case 6: asm("movdqu %%xmm6, %0" : "=m"(*data)); break;
842         case 7: asm("movdqu %%xmm7, %0" : "=m"(*data)); break;
843 #ifdef CONFIG_X86_64
844         case 8: asm("movdqu %%xmm8, %0" : "=m"(*data)); break;
845         case 9: asm("movdqu %%xmm9, %0" : "=m"(*data)); break;
846         case 10: asm("movdqu %%xmm10, %0" : "=m"(*data)); break;
847         case 11: asm("movdqu %%xmm11, %0" : "=m"(*data)); break;
848         case 12: asm("movdqu %%xmm12, %0" : "=m"(*data)); break;
849         case 13: asm("movdqu %%xmm13, %0" : "=m"(*data)); break;
850         case 14: asm("movdqu %%xmm14, %0" : "=m"(*data)); break;
851         case 15: asm("movdqu %%xmm15, %0" : "=m"(*data)); break;
852 #endif
853         default: BUG();
854         }
855         ctxt->ops->put_fpu(ctxt);
856 }
857
858 static void write_sse_reg(struct x86_emulate_ctxt *ctxt, sse128_t *data,
859                           int reg)
860 {
861         ctxt->ops->get_fpu(ctxt);
862         switch (reg) {
863         case 0: asm("movdqu %0, %%xmm0" : : "m"(*data)); break;
864         case 1: asm("movdqu %0, %%xmm1" : : "m"(*data)); break;
865         case 2: asm("movdqu %0, %%xmm2" : : "m"(*data)); break;
866         case 3: asm("movdqu %0, %%xmm3" : : "m"(*data)); break;
867         case 4: asm("movdqu %0, %%xmm4" : : "m"(*data)); break;
868         case 5: asm("movdqu %0, %%xmm5" : : "m"(*data)); break;
869         case 6: asm("movdqu %0, %%xmm6" : : "m"(*data)); break;
870         case 7: asm("movdqu %0, %%xmm7" : : "m"(*data)); break;
871 #ifdef CONFIG_X86_64
872         case 8: asm("movdqu %0, %%xmm8" : : "m"(*data)); break;
873         case 9: asm("movdqu %0, %%xmm9" : : "m"(*data)); break;
874         case 10: asm("movdqu %0, %%xmm10" : : "m"(*data)); break;
875         case 11: asm("movdqu %0, %%xmm11" : : "m"(*data)); break;
876         case 12: asm("movdqu %0, %%xmm12" : : "m"(*data)); break;
877         case 13: asm("movdqu %0, %%xmm13" : : "m"(*data)); break;
878         case 14: asm("movdqu %0, %%xmm14" : : "m"(*data)); break;
879         case 15: asm("movdqu %0, %%xmm15" : : "m"(*data)); break;
880 #endif
881         default: BUG();
882         }
883         ctxt->ops->put_fpu(ctxt);
884 }
885
886 static void decode_register_operand(struct x86_emulate_ctxt *ctxt,
887                                     struct operand *op,
888                                     int inhibit_bytereg)
889 {
890         unsigned reg = ctxt->modrm_reg;
891         int highbyte_regs = ctxt->rex_prefix == 0;
892
893         if (!(ctxt->d & ModRM))
894                 reg = (ctxt->b & 7) | ((ctxt->rex_prefix & 1) << 3);
895
896         if (ctxt->d & Sse) {
897                 op->type = OP_XMM;
898                 op->bytes = 16;
899                 op->addr.xmm = reg;
900                 read_sse_reg(ctxt, &op->vec_val, reg);
901                 return;
902         }
903
904         op->type = OP_REG;
905         if ((ctxt->d & ByteOp) && !inhibit_bytereg) {
906                 op->addr.reg = decode_register(reg, ctxt->regs, highbyte_regs);
907                 op->bytes = 1;
908         } else {
909                 op->addr.reg = decode_register(reg, ctxt->regs, 0);
910                 op->bytes = ctxt->op_bytes;
911         }
912         fetch_register_operand(op);
913         op->orig_val = op->val;
914 }
915
916 static int decode_modrm(struct x86_emulate_ctxt *ctxt,
917                         struct operand *op)
918 {
919         u8 sib;
920         int index_reg = 0, base_reg = 0, scale;
921         int rc = X86EMUL_CONTINUE;
922         ulong modrm_ea = 0;
923
924         if (ctxt->rex_prefix) {
925                 ctxt->modrm_reg = (ctxt->rex_prefix & 4) << 1;  /* REX.R */
926                 index_reg = (ctxt->rex_prefix & 2) << 2; /* REX.X */
927                 ctxt->modrm_rm = base_reg = (ctxt->rex_prefix & 1) << 3; /* REG.B */
928         }
929
930         ctxt->modrm = insn_fetch(u8, ctxt);
931         ctxt->modrm_mod |= (ctxt->modrm & 0xc0) >> 6;
932         ctxt->modrm_reg |= (ctxt->modrm & 0x38) >> 3;
933         ctxt->modrm_rm |= (ctxt->modrm & 0x07);
934         ctxt->modrm_seg = VCPU_SREG_DS;
935
936         if (ctxt->modrm_mod == 3) {
937                 op->type = OP_REG;
938                 op->bytes = (ctxt->d & ByteOp) ? 1 : ctxt->op_bytes;
939                 op->addr.reg = decode_register(ctxt->modrm_rm,
940                                                ctxt->regs, ctxt->d & ByteOp);
941                 if (ctxt->d & Sse) {
942                         op->type = OP_XMM;
943                         op->bytes = 16;
944                         op->addr.xmm = ctxt->modrm_rm;
945                         read_sse_reg(ctxt, &op->vec_val, ctxt->modrm_rm);
946                         return rc;
947                 }
948                 fetch_register_operand(op);
949                 return rc;
950         }
951
952         op->type = OP_MEM;
953
954         if (ctxt->ad_bytes == 2) {
955                 unsigned bx = ctxt->regs[VCPU_REGS_RBX];
956                 unsigned bp = ctxt->regs[VCPU_REGS_RBP];
957                 unsigned si = ctxt->regs[VCPU_REGS_RSI];
958                 unsigned di = ctxt->regs[VCPU_REGS_RDI];
959
960                 /* 16-bit ModR/M decode. */
961                 switch (ctxt->modrm_mod) {
962                 case 0:
963                         if (ctxt->modrm_rm == 6)
964                                 modrm_ea += insn_fetch(u16, ctxt);
965                         break;
966                 case 1:
967                         modrm_ea += insn_fetch(s8, ctxt);
968                         break;
969                 case 2:
970                         modrm_ea += insn_fetch(u16, ctxt);
971                         break;
972                 }
973                 switch (ctxt->modrm_rm) {
974                 case 0:
975                         modrm_ea += bx + si;
976                         break;
977                 case 1:
978                         modrm_ea += bx + di;
979                         break;
980                 case 2:
981                         modrm_ea += bp + si;
982                         break;
983                 case 3:
984                         modrm_ea += bp + di;
985                         break;
986                 case 4:
987                         modrm_ea += si;
988                         break;
989                 case 5:
990                         modrm_ea += di;
991                         break;
992                 case 6:
993                         if (ctxt->modrm_mod != 0)
994                                 modrm_ea += bp;
995                         break;
996                 case 7:
997                         modrm_ea += bx;
998                         break;
999                 }
1000                 if (ctxt->modrm_rm == 2 || ctxt->modrm_rm == 3 ||
1001                     (ctxt->modrm_rm == 6 && ctxt->modrm_mod != 0))
1002                         ctxt->modrm_seg = VCPU_SREG_SS;
1003                 modrm_ea = (u16)modrm_ea;
1004         } else {
1005                 /* 32/64-bit ModR/M decode. */
1006                 if ((ctxt->modrm_rm & 7) == 4) {
1007                         sib = insn_fetch(u8, ctxt);
1008                         index_reg |= (sib >> 3) & 7;
1009                         base_reg |= sib & 7;
1010                         scale = sib >> 6;
1011
1012                         if ((base_reg & 7) == 5 && ctxt->modrm_mod == 0)
1013                                 modrm_ea += insn_fetch(s32, ctxt);
1014                         else
1015                                 modrm_ea += ctxt->regs[base_reg];
1016                         if (index_reg != 4)
1017                                 modrm_ea += ctxt->regs[index_reg] << scale;
1018                 } else if ((ctxt->modrm_rm & 7) == 5 && ctxt->modrm_mod == 0) {
1019                         if (ctxt->mode == X86EMUL_MODE_PROT64)
1020                                 ctxt->rip_relative = 1;
1021                 } else
1022                         modrm_ea += ctxt->regs[ctxt->modrm_rm];
1023                 switch (ctxt->modrm_mod) {
1024                 case 0:
1025                         if (ctxt->modrm_rm == 5)
1026                                 modrm_ea += insn_fetch(s32, ctxt);
1027                         break;
1028                 case 1:
1029                         modrm_ea += insn_fetch(s8, ctxt);
1030                         break;
1031                 case 2:
1032                         modrm_ea += insn_fetch(s32, ctxt);
1033                         break;
1034                 }
1035         }
1036         op->addr.mem.ea = modrm_ea;
1037 done:
1038         return rc;
1039 }
1040
1041 static int decode_abs(struct x86_emulate_ctxt *ctxt,
1042                       struct operand *op)
1043 {
1044         int rc = X86EMUL_CONTINUE;
1045
1046         op->type = OP_MEM;
1047         switch (ctxt->ad_bytes) {
1048         case 2:
1049                 op->addr.mem.ea = insn_fetch(u16, ctxt);
1050                 break;
1051         case 4:
1052                 op->addr.mem.ea = insn_fetch(u32, ctxt);
1053                 break;
1054         case 8:
1055                 op->addr.mem.ea = insn_fetch(u64, ctxt);
1056                 break;
1057         }
1058 done:
1059         return rc;
1060 }
1061
1062 static void fetch_bit_operand(struct x86_emulate_ctxt *ctxt)
1063 {
1064         long sv = 0, mask;
1065
1066         if (ctxt->dst.type == OP_MEM && ctxt->src.type == OP_REG) {
1067                 mask = ~(ctxt->dst.bytes * 8 - 1);
1068
1069                 if (ctxt->src.bytes == 2)
1070                         sv = (s16)ctxt->src.val & (s16)mask;
1071                 else if (ctxt->src.bytes == 4)
1072                         sv = (s32)ctxt->src.val & (s32)mask;
1073
1074                 ctxt->dst.addr.mem.ea += (sv >> 3);
1075         }
1076
1077         /* only subword offset */
1078         ctxt->src.val &= (ctxt->dst.bytes << 3) - 1;
1079 }
1080
1081 static int read_emulated(struct x86_emulate_ctxt *ctxt,
1082                          unsigned long addr, void *dest, unsigned size)
1083 {
1084         int rc;
1085         struct read_cache *mc = &ctxt->mem_read;
1086
1087         while (size) {
1088                 int n = min(size, 8u);
1089                 size -= n;
1090                 if (mc->pos < mc->end)
1091                         goto read_cached;
1092
1093                 rc = ctxt->ops->read_emulated(ctxt, addr, mc->data + mc->end, n,
1094                                               &ctxt->exception);
1095                 if (rc != X86EMUL_CONTINUE)
1096                         return rc;
1097                 mc->end += n;
1098
1099         read_cached:
1100                 memcpy(dest, mc->data + mc->pos, n);
1101                 mc->pos += n;
1102                 dest += n;
1103                 addr += n;
1104         }
1105         return X86EMUL_CONTINUE;
1106 }
1107
1108 static int segmented_read(struct x86_emulate_ctxt *ctxt,
1109                           struct segmented_address addr,
1110                           void *data,
1111                           unsigned size)
1112 {
1113         int rc;
1114         ulong linear;
1115
1116         rc = linearize(ctxt, addr, size, false, &linear);
1117         if (rc != X86EMUL_CONTINUE)
1118                 return rc;
1119         return read_emulated(ctxt, linear, data, size);
1120 }
1121
1122 static int segmented_write(struct x86_emulate_ctxt *ctxt,
1123                            struct segmented_address addr,
1124                            const void *data,
1125                            unsigned size)
1126 {
1127         int rc;
1128         ulong linear;
1129
1130         rc = linearize(ctxt, addr, size, true, &linear);
1131         if (rc != X86EMUL_CONTINUE)
1132                 return rc;
1133         return ctxt->ops->write_emulated(ctxt, linear, data, size,
1134                                          &ctxt->exception);
1135 }
1136
1137 static int segmented_cmpxchg(struct x86_emulate_ctxt *ctxt,
1138                              struct segmented_address addr,
1139                              const void *orig_data, const void *data,
1140                              unsigned size)
1141 {
1142         int rc;
1143         ulong linear;
1144
1145         rc = linearize(ctxt, addr, size, true, &linear);
1146         if (rc != X86EMUL_CONTINUE)
1147                 return rc;
1148         return ctxt->ops->cmpxchg_emulated(ctxt, linear, orig_data, data,
1149                                            size, &ctxt->exception);
1150 }
1151
1152 static int pio_in_emulated(struct x86_emulate_ctxt *ctxt,
1153                            unsigned int size, unsigned short port,
1154                            void *dest)
1155 {
1156         struct read_cache *rc = &ctxt->io_read;
1157
1158         if (rc->pos == rc->end) { /* refill pio read ahead */
1159                 unsigned int in_page, n;
1160                 unsigned int count = ctxt->rep_prefix ?
1161                         address_mask(ctxt, ctxt->regs[VCPU_REGS_RCX]) : 1;
1162                 in_page = (ctxt->eflags & EFLG_DF) ?
1163                         offset_in_page(ctxt->regs[VCPU_REGS_RDI]) :
1164                         PAGE_SIZE - offset_in_page(ctxt->regs[VCPU_REGS_RDI]);
1165                 n = min(min(in_page, (unsigned int)sizeof(rc->data)) / size,
1166                         count);
1167                 if (n == 0)
1168                         n = 1;
1169                 rc->pos = rc->end = 0;
1170                 if (!ctxt->ops->pio_in_emulated(ctxt, size, port, rc->data, n))
1171                         return 0;
1172                 rc->end = n * size;
1173         }
1174
1175         memcpy(dest, rc->data + rc->pos, size);
1176         rc->pos += size;
1177         return 1;
1178 }
1179
1180 static void get_descriptor_table_ptr(struct x86_emulate_ctxt *ctxt,
1181                                      u16 selector, struct desc_ptr *dt)
1182 {
1183         struct x86_emulate_ops *ops = ctxt->ops;
1184
1185         if (selector & 1 << 2) {
1186                 struct desc_struct desc;
1187                 u16 sel;
1188
1189                 memset (dt, 0, sizeof *dt);
1190                 if (!ops->get_segment(ctxt, &sel, &desc, NULL, VCPU_SREG_LDTR))
1191                         return;
1192
1193                 dt->size = desc_limit_scaled(&desc); /* what if limit > 65535? */
1194                 dt->address = get_desc_base(&desc);
1195         } else
1196                 ops->get_gdt(ctxt, dt);
1197 }
1198
1199 /* allowed just for 8 bytes segments */
1200 static int read_segment_descriptor(struct x86_emulate_ctxt *ctxt,
1201                                    u16 selector, struct desc_struct *desc)
1202 {
1203         struct desc_ptr dt;
1204         u16 index = selector >> 3;
1205         ulong addr;
1206
1207         get_descriptor_table_ptr(ctxt, selector, &dt);
1208
1209         if (dt.size < index * 8 + 7)
1210                 return emulate_gp(ctxt, selector & 0xfffc);
1211
1212         addr = dt.address + index * 8;
1213         return ctxt->ops->read_std(ctxt, addr, desc, sizeof *desc,
1214                                    &ctxt->exception);
1215 }
1216
1217 /* allowed just for 8 bytes segments */
1218 static int write_segment_descriptor(struct x86_emulate_ctxt *ctxt,
1219                                     u16 selector, struct desc_struct *desc)
1220 {
1221         struct desc_ptr dt;
1222         u16 index = selector >> 3;
1223         ulong addr;
1224
1225         get_descriptor_table_ptr(ctxt, selector, &dt);
1226
1227         if (dt.size < index * 8 + 7)
1228                 return emulate_gp(ctxt, selector & 0xfffc);
1229
1230         addr = dt.address + index * 8;
1231         return ctxt->ops->write_std(ctxt, addr, desc, sizeof *desc,
1232                                     &ctxt->exception);
1233 }
1234
1235 /* Does not support long mode */
1236 static int __load_segment_descriptor(struct x86_emulate_ctxt *ctxt,
1237                                      u16 selector, int seg, u8 cpl)
1238 {
1239         struct desc_struct seg_desc;
1240         u8 dpl, rpl;
1241         unsigned err_vec = GP_VECTOR;
1242         u32 err_code = 0;
1243         bool null_selector = !(selector & ~0x3); /* 0000-0003 are null */
1244         int ret;
1245
1246         memset(&seg_desc, 0, sizeof seg_desc);
1247
1248         if ((seg <= VCPU_SREG_GS && ctxt->mode == X86EMUL_MODE_VM86)
1249             || ctxt->mode == X86EMUL_MODE_REAL) {
1250                 /* set real mode segment descriptor */
1251                 set_desc_base(&seg_desc, selector << 4);
1252                 set_desc_limit(&seg_desc, 0xffff);
1253                 seg_desc.type = 3;
1254                 seg_desc.p = 1;
1255                 seg_desc.s = 1;
1256                 goto load;
1257         }
1258
1259         /* NULL selector is not valid for TR, CS and SS */
1260         if ((seg == VCPU_SREG_CS || seg == VCPU_SREG_SS || seg == VCPU_SREG_TR)
1261             && null_selector)
1262                 goto exception;
1263
1264         /* TR should be in GDT only */
1265         if (seg == VCPU_SREG_TR && (selector & (1 << 2)))
1266                 goto exception;
1267
1268         if (null_selector) /* for NULL selector skip all following checks */
1269                 goto load;
1270
1271         ret = read_segment_descriptor(ctxt, selector, &seg_desc);
1272         if (ret != X86EMUL_CONTINUE)
1273                 return ret;
1274
1275         err_code = selector & 0xfffc;
1276         err_vec = GP_VECTOR;
1277
1278         /* can't load system descriptor into segment selecor */
1279         if (seg <= VCPU_SREG_GS && !seg_desc.s)
1280                 goto exception;
1281
1282         if (!seg_desc.p) {
1283                 err_vec = (seg == VCPU_SREG_SS) ? SS_VECTOR : NP_VECTOR;
1284                 goto exception;
1285         }
1286
1287         rpl = selector & 3;
1288         dpl = seg_desc.dpl;
1289
1290         switch (seg) {
1291         case VCPU_SREG_SS:
1292                 /*
1293                  * segment is not a writable data segment or segment
1294                  * selector's RPL != CPL or segment selector's RPL != CPL
1295                  */
1296                 if (rpl != cpl || (seg_desc.type & 0xa) != 0x2 || dpl != cpl)
1297                         goto exception;
1298                 break;
1299         case VCPU_SREG_CS:
1300                 if (!(seg_desc.type & 8))
1301                         goto exception;
1302
1303                 if (seg_desc.type & 4) {
1304                         /* conforming */
1305                         if (dpl > cpl)
1306                                 goto exception;
1307                 } else {
1308                         /* nonconforming */
1309                         if (rpl > cpl || dpl != cpl)
1310                                 goto exception;
1311                 }
1312                 /* CS(RPL) <- CPL */
1313                 selector = (selector & 0xfffc) | cpl;
1314                 break;
1315         case VCPU_SREG_TR:
1316                 if (seg_desc.s || (seg_desc.type != 1 && seg_desc.type != 9))
1317                         goto exception;
1318                 break;
1319         case VCPU_SREG_LDTR:
1320                 if (seg_desc.s || seg_desc.type != 2)
1321                         goto exception;
1322                 break;
1323         default: /*  DS, ES, FS, or GS */
1324                 /*
1325                  * segment is not a data or readable code segment or
1326                  * ((segment is a data or nonconforming code segment)
1327                  * and (both RPL and CPL > DPL))
1328                  */
1329                 if ((seg_desc.type & 0xa) == 0x8 ||
1330                     (((seg_desc.type & 0xc) != 0xc) &&
1331                      (rpl > dpl && cpl > dpl)))
1332                         goto exception;
1333                 break;
1334         }
1335
1336         if (seg_desc.s) {
1337                 /* mark segment as accessed */
1338                 seg_desc.type |= 1;
1339                 ret = write_segment_descriptor(ctxt, selector, &seg_desc);
1340                 if (ret != X86EMUL_CONTINUE)
1341                         return ret;
1342         }
1343 load:
1344         ctxt->ops->set_segment(ctxt, selector, &seg_desc, 0, seg);
1345         return X86EMUL_CONTINUE;
1346 exception:
1347         emulate_exception(ctxt, err_vec, err_code, true);
1348         return X86EMUL_PROPAGATE_FAULT;
1349 }
1350
1351 static int load_segment_descriptor(struct x86_emulate_ctxt *ctxt,
1352                                    u16 selector, int seg)
1353 {
1354         u8 cpl = ctxt->ops->cpl(ctxt);
1355         return __load_segment_descriptor(ctxt, selector, seg, cpl);
1356 }
1357
1358 static void write_register_operand(struct operand *op)
1359 {
1360         /* The 4-byte case *is* correct: in 64-bit mode we zero-extend. */
1361         switch (op->bytes) {
1362         case 1:
1363                 *(u8 *)op->addr.reg = (u8)op->val;
1364                 break;
1365         case 2:
1366                 *(u16 *)op->addr.reg = (u16)op->val;
1367                 break;
1368         case 4:
1369                 *op->addr.reg = (u32)op->val;
1370                 break;  /* 64b: zero-extend */
1371         case 8:
1372                 *op->addr.reg = op->val;
1373                 break;
1374         }
1375 }
1376
1377 static int writeback(struct x86_emulate_ctxt *ctxt)
1378 {
1379         int rc;
1380
1381         switch (ctxt->dst.type) {
1382         case OP_REG:
1383                 write_register_operand(&ctxt->dst);
1384                 break;
1385         case OP_MEM:
1386                 if (ctxt->lock_prefix)
1387                         rc = segmented_cmpxchg(ctxt,
1388                                                ctxt->dst.addr.mem,
1389                                                &ctxt->dst.orig_val,
1390                                                &ctxt->dst.val,
1391                                                ctxt->dst.bytes);
1392                 else
1393                         rc = segmented_write(ctxt,
1394                                              ctxt->dst.addr.mem,
1395                                              &ctxt->dst.val,
1396                                              ctxt->dst.bytes);
1397                 if (rc != X86EMUL_CONTINUE)
1398                         return rc;
1399                 break;
1400         case OP_XMM:
1401                 write_sse_reg(ctxt, &ctxt->dst.vec_val, ctxt->dst.addr.xmm);
1402                 break;
1403         case OP_NONE:
1404                 /* no writeback */
1405                 break;
1406         default:
1407                 break;
1408         }
1409         return X86EMUL_CONTINUE;
1410 }
1411
1412 static int em_push(struct x86_emulate_ctxt *ctxt)
1413 {
1414         struct segmented_address addr;
1415
1416         register_address_increment(ctxt, &ctxt->regs[VCPU_REGS_RSP], -ctxt->op_bytes);
1417         addr.ea = register_address(ctxt, ctxt->regs[VCPU_REGS_RSP]);
1418         addr.seg = VCPU_SREG_SS;
1419
1420         /* Disable writeback. */
1421         ctxt->dst.type = OP_NONE;
1422         return segmented_write(ctxt, addr, &ctxt->src.val, ctxt->op_bytes);
1423 }
1424
1425 static int emulate_pop(struct x86_emulate_ctxt *ctxt,
1426                        void *dest, int len)
1427 {
1428         int rc;
1429         struct segmented_address addr;
1430
1431         addr.ea = register_address(ctxt, ctxt->regs[VCPU_REGS_RSP]);
1432         addr.seg = VCPU_SREG_SS;
1433         rc = segmented_read(ctxt, addr, dest, len);
1434         if (rc != X86EMUL_CONTINUE)
1435                 return rc;
1436
1437         register_address_increment(ctxt, &ctxt->regs[VCPU_REGS_RSP], len);
1438         return rc;
1439 }
1440
1441 static int em_pop(struct x86_emulate_ctxt *ctxt)
1442 {
1443         return emulate_pop(ctxt, &ctxt->dst.val, ctxt->op_bytes);
1444 }
1445
1446 static int emulate_popf(struct x86_emulate_ctxt *ctxt,
1447                         void *dest, int len)
1448 {
1449         int rc;
1450         unsigned long val, change_mask;
1451         int iopl = (ctxt->eflags & X86_EFLAGS_IOPL) >> IOPL_SHIFT;
1452         int cpl = ctxt->ops->cpl(ctxt);
1453
1454         rc = emulate_pop(ctxt, &val, len);
1455         if (rc != X86EMUL_CONTINUE)
1456                 return rc;
1457
1458         change_mask = EFLG_CF | EFLG_PF | EFLG_AF | EFLG_ZF | EFLG_SF | EFLG_OF
1459                 | EFLG_TF | EFLG_DF | EFLG_NT | EFLG_RF | EFLG_AC | EFLG_ID;
1460
1461         switch(ctxt->mode) {
1462         case X86EMUL_MODE_PROT64:
1463         case X86EMUL_MODE_PROT32:
1464         case X86EMUL_MODE_PROT16:
1465                 if (cpl == 0)
1466                         change_mask |= EFLG_IOPL;
1467                 if (cpl <= iopl)
1468                         change_mask |= EFLG_IF;
1469                 break;
1470         case X86EMUL_MODE_VM86:
1471                 if (iopl < 3)
1472                         return emulate_gp(ctxt, 0);
1473                 change_mask |= EFLG_IF;
1474                 break;
1475         default: /* real mode */
1476                 change_mask |= (EFLG_IOPL | EFLG_IF);
1477                 break;
1478         }
1479
1480         *(unsigned long *)dest =
1481                 (ctxt->eflags & ~change_mask) | (val & change_mask);
1482
1483         return rc;
1484 }
1485
1486 static int em_popf(struct x86_emulate_ctxt *ctxt)
1487 {
1488         ctxt->dst.type = OP_REG;
1489         ctxt->dst.addr.reg = &ctxt->eflags;
1490         ctxt->dst.bytes = ctxt->op_bytes;
1491         return emulate_popf(ctxt, &ctxt->dst.val, ctxt->op_bytes);
1492 }
1493
1494 static int em_push_sreg(struct x86_emulate_ctxt *ctxt)
1495 {
1496         int seg = ctxt->src2.val;
1497
1498         ctxt->src.val = get_segment_selector(ctxt, seg);
1499
1500         return em_push(ctxt);
1501 }
1502
1503 static int em_pop_sreg(struct x86_emulate_ctxt *ctxt)
1504 {
1505         int seg = ctxt->src2.val;
1506         unsigned long selector;
1507         int rc;
1508
1509         rc = emulate_pop(ctxt, &selector, ctxt->op_bytes);
1510         if (rc != X86EMUL_CONTINUE)
1511                 return rc;
1512
1513         rc = load_segment_descriptor(ctxt, (u16)selector, seg);
1514         return rc;
1515 }
1516
1517 static int em_pusha(struct x86_emulate_ctxt *ctxt)
1518 {
1519         unsigned long old_esp = ctxt->regs[VCPU_REGS_RSP];
1520         int rc = X86EMUL_CONTINUE;
1521         int reg = VCPU_REGS_RAX;
1522
1523         while (reg <= VCPU_REGS_RDI) {
1524                 (reg == VCPU_REGS_RSP) ?
1525                 (ctxt->src.val = old_esp) : (ctxt->src.val = ctxt->regs[reg]);
1526
1527                 rc = em_push(ctxt);
1528                 if (rc != X86EMUL_CONTINUE)
1529                         return rc;
1530
1531                 ++reg;
1532         }
1533
1534         return rc;
1535 }
1536
1537 static int em_pushf(struct x86_emulate_ctxt *ctxt)
1538 {
1539         ctxt->src.val =  (unsigned long)ctxt->eflags;
1540         return em_push(ctxt);
1541 }
1542
1543 static int em_popa(struct x86_emulate_ctxt *ctxt)
1544 {
1545         int rc = X86EMUL_CONTINUE;
1546         int reg = VCPU_REGS_RDI;
1547
1548         while (reg >= VCPU_REGS_RAX) {
1549                 if (reg == VCPU_REGS_RSP) {
1550                         register_address_increment(ctxt, &ctxt->regs[VCPU_REGS_RSP],
1551                                                         ctxt->op_bytes);
1552                         --reg;
1553                 }
1554
1555                 rc = emulate_pop(ctxt, &ctxt->regs[reg], ctxt->op_bytes);
1556                 if (rc != X86EMUL_CONTINUE)
1557                         break;
1558                 --reg;
1559         }
1560         return rc;
1561 }
1562
1563 int emulate_int_real(struct x86_emulate_ctxt *ctxt, int irq)
1564 {
1565         struct x86_emulate_ops *ops = ctxt->ops;
1566         int rc;
1567         struct desc_ptr dt;
1568         gva_t cs_addr;
1569         gva_t eip_addr;
1570         u16 cs, eip;
1571
1572         /* TODO: Add limit checks */
1573         ctxt->src.val = ctxt->eflags;
1574         rc = em_push(ctxt);
1575         if (rc != X86EMUL_CONTINUE)
1576                 return rc;
1577
1578         ctxt->eflags &= ~(EFLG_IF | EFLG_TF | EFLG_AC);
1579
1580         ctxt->src.val = get_segment_selector(ctxt, VCPU_SREG_CS);
1581         rc = em_push(ctxt);
1582         if (rc != X86EMUL_CONTINUE)
1583                 return rc;
1584
1585         ctxt->src.val = ctxt->_eip;
1586         rc = em_push(ctxt);
1587         if (rc != X86EMUL_CONTINUE)
1588                 return rc;
1589
1590         ops->get_idt(ctxt, &dt);
1591
1592         eip_addr = dt.address + (irq << 2);
1593         cs_addr = dt.address + (irq << 2) + 2;
1594
1595         rc = ops->read_std(ctxt, cs_addr, &cs, 2, &ctxt->exception);
1596         if (rc != X86EMUL_CONTINUE)
1597                 return rc;
1598
1599         rc = ops->read_std(ctxt, eip_addr, &eip, 2, &ctxt->exception);
1600         if (rc != X86EMUL_CONTINUE)
1601                 return rc;
1602
1603         rc = load_segment_descriptor(ctxt, cs, VCPU_SREG_CS);
1604         if (rc != X86EMUL_CONTINUE)
1605                 return rc;
1606
1607         ctxt->_eip = eip;
1608
1609         return rc;
1610 }
1611
1612 static int emulate_int(struct x86_emulate_ctxt *ctxt, int irq)
1613 {
1614         switch(ctxt->mode) {
1615         case X86EMUL_MODE_REAL:
1616                 return emulate_int_real(ctxt, irq);
1617         case X86EMUL_MODE_VM86:
1618         case X86EMUL_MODE_PROT16:
1619         case X86EMUL_MODE_PROT32:
1620         case X86EMUL_MODE_PROT64:
1621         default:
1622                 /* Protected mode interrupts unimplemented yet */
1623                 return X86EMUL_UNHANDLEABLE;
1624         }
1625 }
1626
1627 static int emulate_iret_real(struct x86_emulate_ctxt *ctxt)
1628 {
1629         int rc = X86EMUL_CONTINUE;
1630         unsigned long temp_eip = 0;
1631         unsigned long temp_eflags = 0;
1632         unsigned long cs = 0;
1633         unsigned long mask = EFLG_CF | EFLG_PF | EFLG_AF | EFLG_ZF | EFLG_SF | EFLG_TF |
1634                              EFLG_IF | EFLG_DF | EFLG_OF | EFLG_IOPL | EFLG_NT | EFLG_RF |
1635                              EFLG_AC | EFLG_ID | (1 << 1); /* Last one is the reserved bit */
1636         unsigned long vm86_mask = EFLG_VM | EFLG_VIF | EFLG_VIP;
1637
1638         /* TODO: Add stack limit check */
1639
1640         rc = emulate_pop(ctxt, &temp_eip, ctxt->op_bytes);
1641
1642         if (rc != X86EMUL_CONTINUE)
1643                 return rc;
1644
1645         if (temp_eip & ~0xffff)
1646                 return emulate_gp(ctxt, 0);
1647
1648         rc = emulate_pop(ctxt, &cs, ctxt->op_bytes);
1649
1650         if (rc != X86EMUL_CONTINUE)
1651                 return rc;
1652
1653         rc = emulate_pop(ctxt, &temp_eflags, ctxt->op_bytes);
1654
1655         if (rc != X86EMUL_CONTINUE)
1656                 return rc;
1657
1658         rc = load_segment_descriptor(ctxt, (u16)cs, VCPU_SREG_CS);
1659
1660         if (rc != X86EMUL_CONTINUE)
1661                 return rc;
1662
1663         ctxt->_eip = temp_eip;
1664
1665
1666         if (ctxt->op_bytes == 4)
1667                 ctxt->eflags = ((temp_eflags & mask) | (ctxt->eflags & vm86_mask));
1668         else if (ctxt->op_bytes == 2) {
1669                 ctxt->eflags &= ~0xffff;
1670                 ctxt->eflags |= temp_eflags;
1671         }
1672
1673         ctxt->eflags &= ~EFLG_RESERVED_ZEROS_MASK; /* Clear reserved zeros */
1674         ctxt->eflags |= EFLG_RESERVED_ONE_MASK;
1675
1676         return rc;
1677 }
1678
1679 static int em_iret(struct x86_emulate_ctxt *ctxt)
1680 {
1681         switch(ctxt->mode) {
1682         case X86EMUL_MODE_REAL:
1683                 return emulate_iret_real(ctxt);
1684         case X86EMUL_MODE_VM86:
1685         case X86EMUL_MODE_PROT16:
1686         case X86EMUL_MODE_PROT32:
1687         case X86EMUL_MODE_PROT64:
1688         default:
1689                 /* iret from protected mode unimplemented yet */
1690                 return X86EMUL_UNHANDLEABLE;
1691         }
1692 }
1693
1694 static int em_jmp_far(struct x86_emulate_ctxt *ctxt)
1695 {
1696         int rc;
1697         unsigned short sel;
1698
1699         memcpy(&sel, ctxt->src.valptr + ctxt->op_bytes, 2);
1700
1701         rc = load_segment_descriptor(ctxt, sel, VCPU_SREG_CS);
1702         if (rc != X86EMUL_CONTINUE)
1703                 return rc;
1704
1705         ctxt->_eip = 0;
1706         memcpy(&ctxt->_eip, ctxt->src.valptr, ctxt->op_bytes);
1707         return X86EMUL_CONTINUE;
1708 }
1709
1710 static int em_grp1a(struct x86_emulate_ctxt *ctxt)
1711 {
1712         return emulate_pop(ctxt, &ctxt->dst.val, ctxt->dst.bytes);
1713 }
1714
1715 static int em_grp2(struct x86_emulate_ctxt *ctxt)
1716 {
1717         switch (ctxt->modrm_reg) {
1718         case 0: /* rol */
1719                 emulate_2op_SrcB(ctxt, "rol");
1720                 break;
1721         case 1: /* ror */
1722                 emulate_2op_SrcB(ctxt, "ror");
1723                 break;
1724         case 2: /* rcl */
1725                 emulate_2op_SrcB(ctxt, "rcl");
1726                 break;
1727         case 3: /* rcr */
1728                 emulate_2op_SrcB(ctxt, "rcr");
1729                 break;
1730         case 4: /* sal/shl */
1731         case 6: /* sal/shl */
1732                 emulate_2op_SrcB(ctxt, "sal");
1733                 break;
1734         case 5: /* shr */
1735                 emulate_2op_SrcB(ctxt, "shr");
1736                 break;
1737         case 7: /* sar */
1738                 emulate_2op_SrcB(ctxt, "sar");
1739                 break;
1740         }
1741         return X86EMUL_CONTINUE;
1742 }
1743
1744 static int em_not(struct x86_emulate_ctxt *ctxt)
1745 {
1746         ctxt->dst.val = ~ctxt->dst.val;
1747         return X86EMUL_CONTINUE;
1748 }
1749
1750 static int em_neg(struct x86_emulate_ctxt *ctxt)
1751 {
1752         emulate_1op(ctxt, "neg");
1753         return X86EMUL_CONTINUE;
1754 }
1755
1756 static int em_mul_ex(struct x86_emulate_ctxt *ctxt)
1757 {
1758         u8 ex = 0;
1759
1760         emulate_1op_rax_rdx(ctxt, "mul", ex);
1761         return X86EMUL_CONTINUE;
1762 }
1763
1764 static int em_imul_ex(struct x86_emulate_ctxt *ctxt)
1765 {
1766         u8 ex = 0;
1767
1768         emulate_1op_rax_rdx(ctxt, "imul", ex);
1769         return X86EMUL_CONTINUE;
1770 }
1771
1772 static int em_div_ex(struct x86_emulate_ctxt *ctxt)
1773 {
1774         u8 de = 0;
1775
1776         emulate_1op_rax_rdx(ctxt, "div", de);
1777         if (de)
1778                 return emulate_de(ctxt);
1779         return X86EMUL_CONTINUE;
1780 }
1781
1782 static int em_idiv_ex(struct x86_emulate_ctxt *ctxt)
1783 {
1784         u8 de = 0;
1785
1786         emulate_1op_rax_rdx(ctxt, "idiv", de);
1787         if (de)
1788                 return emulate_de(ctxt);
1789         return X86EMUL_CONTINUE;
1790 }
1791
1792 static int em_grp45(struct x86_emulate_ctxt *ctxt)
1793 {
1794         int rc = X86EMUL_CONTINUE;
1795
1796         switch (ctxt->modrm_reg) {
1797         case 0: /* inc */
1798                 emulate_1op(ctxt, "inc");
1799                 break;
1800         case 1: /* dec */
1801                 emulate_1op(ctxt, "dec");
1802                 break;
1803         case 2: /* call near abs */ {
1804                 long int old_eip;
1805                 old_eip = ctxt->_eip;
1806                 rc = assign_eip_near(ctxt, ctxt->src.val);
1807                 if (rc != X86EMUL_CONTINUE)
1808                         break;
1809                 ctxt->src.val = old_eip;
1810                 rc = em_push(ctxt);
1811                 break;
1812         }
1813         case 4: /* jmp abs */
1814                 rc = assign_eip_near(ctxt, ctxt->src.val);
1815                 break;
1816         case 5: /* jmp far */
1817                 rc = em_jmp_far(ctxt);
1818                 break;
1819         case 6: /* push */
1820                 rc = em_push(ctxt);
1821                 break;
1822         }
1823         return rc;
1824 }
1825
1826 static int em_grp9(struct x86_emulate_ctxt *ctxt)
1827 {
1828         u64 old = ctxt->dst.orig_val64;
1829
1830         if (((u32) (old >> 0) != (u32) ctxt->regs[VCPU_REGS_RAX]) ||
1831             ((u32) (old >> 32) != (u32) ctxt->regs[VCPU_REGS_RDX])) {
1832                 ctxt->regs[VCPU_REGS_RAX] = (u32) (old >> 0);
1833                 ctxt->regs[VCPU_REGS_RDX] = (u32) (old >> 32);
1834                 ctxt->eflags &= ~EFLG_ZF;
1835         } else {
1836                 ctxt->dst.val64 = ((u64)ctxt->regs[VCPU_REGS_RCX] << 32) |
1837                         (u32) ctxt->regs[VCPU_REGS_RBX];
1838
1839                 ctxt->eflags |= EFLG_ZF;
1840         }
1841         return X86EMUL_CONTINUE;
1842 }
1843
1844 static int em_ret(struct x86_emulate_ctxt *ctxt)
1845 {
1846         int rc;
1847         unsigned long eip;
1848
1849         rc = emulate_pop(ctxt, &eip, ctxt->op_bytes);
1850         if (rc != X86EMUL_CONTINUE)
1851                 return rc;
1852
1853         return assign_eip_near(ctxt, eip);
1854 }
1855
1856 static int em_ret_far(struct x86_emulate_ctxt *ctxt)
1857 {
1858         int rc;
1859         unsigned long cs;
1860         int cpl = ctxt->ops->cpl(ctxt);
1861
1862         rc = emulate_pop(ctxt, &ctxt->_eip, ctxt->op_bytes);
1863         if (rc != X86EMUL_CONTINUE)
1864                 return rc;
1865         if (ctxt->op_bytes == 4)
1866                 ctxt->_eip = (u32)ctxt->_eip;
1867         rc = emulate_pop(ctxt, &cs, ctxt->op_bytes);
1868         if (rc != X86EMUL_CONTINUE)
1869                 return rc;
1870         /* Outer-privilege level return is not implemented */
1871         if (ctxt->mode >= X86EMUL_MODE_PROT16 && (cs & 3) > cpl)
1872                 return X86EMUL_UNHANDLEABLE;
1873         rc = load_segment_descriptor(ctxt, (u16)cs, VCPU_SREG_CS);
1874         return rc;
1875 }
1876
1877 static int em_lseg(struct x86_emulate_ctxt *ctxt)
1878 {
1879         int seg = ctxt->src2.val;
1880         unsigned short sel;
1881         int rc;
1882
1883         memcpy(&sel, ctxt->src.valptr + ctxt->op_bytes, 2);
1884
1885         rc = load_segment_descriptor(ctxt, sel, seg);
1886         if (rc != X86EMUL_CONTINUE)
1887                 return rc;
1888
1889         ctxt->dst.val = ctxt->src.val;
1890         return rc;
1891 }
1892
1893 static void
1894 setup_syscalls_segments(struct x86_emulate_ctxt *ctxt,
1895                         struct desc_struct *cs, struct desc_struct *ss)
1896 {
1897         u16 selector;
1898
1899         memset(cs, 0, sizeof(struct desc_struct));
1900         ctxt->ops->get_segment(ctxt, &selector, cs, NULL, VCPU_SREG_CS);
1901         memset(ss, 0, sizeof(struct desc_struct));
1902
1903         cs->l = 0;              /* will be adjusted later */
1904         set_desc_base(cs, 0);   /* flat segment */
1905         cs->g = 1;              /* 4kb granularity */
1906         set_desc_limit(cs, 0xfffff);    /* 4GB limit */
1907         cs->type = 0x0b;        /* Read, Execute, Accessed */
1908         cs->s = 1;
1909         cs->dpl = 0;            /* will be adjusted later */
1910         cs->p = 1;
1911         cs->d = 1;
1912
1913         set_desc_base(ss, 0);   /* flat segment */
1914         set_desc_limit(ss, 0xfffff);    /* 4GB limit */
1915         ss->g = 1;              /* 4kb granularity */
1916         ss->s = 1;
1917         ss->type = 0x03;        /* Read/Write, Accessed */
1918         ss->d = 1;              /* 32bit stack segment */
1919         ss->dpl = 0;
1920         ss->p = 1;
1921 }
1922
1923 static bool em_syscall_is_enabled(struct x86_emulate_ctxt *ctxt)
1924 {
1925         struct x86_emulate_ops *ops = ctxt->ops;
1926         u32 eax, ebx, ecx, edx;
1927
1928         /*
1929          * syscall should always be enabled in longmode - so only become
1930          * vendor specific (cpuid) if other modes are active...
1931          */
1932         if (ctxt->mode == X86EMUL_MODE_PROT64)
1933                 return true;
1934
1935         eax = 0x00000000;
1936         ecx = 0x00000000;
1937         if (ops->get_cpuid(ctxt, &eax, &ebx, &ecx, &edx)) {
1938                 /*
1939                  * Intel ("GenuineIntel")
1940                  * remark: Intel CPUs only support "syscall" in 64bit
1941                  * longmode. Also an 64bit guest with a
1942                  * 32bit compat-app running will #UD !! While this
1943                  * behaviour can be fixed (by emulating) into AMD
1944                  * response - CPUs of AMD can't behave like Intel.
1945                  */
1946                 if (ebx == X86EMUL_CPUID_VENDOR_GenuineIntel_ebx &&
1947                     ecx == X86EMUL_CPUID_VENDOR_GenuineIntel_ecx &&
1948                     edx == X86EMUL_CPUID_VENDOR_GenuineIntel_edx)
1949                         return false;
1950
1951                 /* AMD ("AuthenticAMD") */
1952                 if (ebx == X86EMUL_CPUID_VENDOR_AuthenticAMD_ebx &&
1953                     ecx == X86EMUL_CPUID_VENDOR_AuthenticAMD_ecx &&
1954                     edx == X86EMUL_CPUID_VENDOR_AuthenticAMD_edx)
1955                         return true;
1956
1957                 /* AMD ("AMDisbetter!") */
1958                 if (ebx == X86EMUL_CPUID_VENDOR_AMDisbetterI_ebx &&
1959                     ecx == X86EMUL_CPUID_VENDOR_AMDisbetterI_ecx &&
1960                     edx == X86EMUL_CPUID_VENDOR_AMDisbetterI_edx)
1961                         return true;
1962         }
1963
1964         /* default: (not Intel, not AMD), apply Intel's stricter rules... */
1965         return false;
1966 }
1967
1968 static int em_syscall(struct x86_emulate_ctxt *ctxt)
1969 {
1970         struct x86_emulate_ops *ops = ctxt->ops;
1971         struct desc_struct cs, ss;
1972         u64 msr_data;
1973         u16 cs_sel, ss_sel;
1974         u64 efer = 0;
1975
1976         /* syscall is not available in real mode */
1977         if (ctxt->mode == X86EMUL_MODE_REAL ||
1978             ctxt->mode == X86EMUL_MODE_VM86)
1979                 return emulate_ud(ctxt);
1980
1981         if (!(em_syscall_is_enabled(ctxt)))
1982                 return emulate_ud(ctxt);
1983
1984         ops->get_msr(ctxt, MSR_EFER, &efer);
1985         setup_syscalls_segments(ctxt, &cs, &ss);
1986
1987         if (!(efer & EFER_SCE))
1988                 return emulate_ud(ctxt);
1989
1990         ops->get_msr(ctxt, MSR_STAR, &msr_data);
1991         msr_data >>= 32;
1992         cs_sel = (u16)(msr_data & 0xfffc);
1993         ss_sel = (u16)(msr_data + 8);
1994
1995         if (efer & EFER_LMA) {
1996                 cs.d = 0;
1997                 cs.l = 1;
1998         }
1999         ops->set_segment(ctxt, cs_sel, &cs, 0, VCPU_SREG_CS);
2000         ops->set_segment(ctxt, ss_sel, &ss, 0, VCPU_SREG_SS);
2001
2002         ctxt->regs[VCPU_REGS_RCX] = ctxt->_eip;
2003         if (efer & EFER_LMA) {
2004 #ifdef CONFIG_X86_64
2005                 ctxt->regs[VCPU_REGS_R11] = ctxt->eflags & ~EFLG_RF;
2006
2007                 ops->get_msr(ctxt,
2008                              ctxt->mode == X86EMUL_MODE_PROT64 ?
2009                              MSR_LSTAR : MSR_CSTAR, &msr_data);
2010                 ctxt->_eip = msr_data;
2011
2012                 ops->get_msr(ctxt, MSR_SYSCALL_MASK, &msr_data);
2013                 ctxt->eflags &= ~(msr_data | EFLG_RF);
2014 #endif
2015         } else {
2016                 /* legacy mode */
2017                 ops->get_msr(ctxt, MSR_STAR, &msr_data);
2018                 ctxt->_eip = (u32)msr_data;
2019
2020                 ctxt->eflags &= ~(EFLG_VM | EFLG_IF | EFLG_RF);
2021         }
2022
2023         return X86EMUL_CONTINUE;
2024 }
2025
2026 static int em_sysenter(struct x86_emulate_ctxt *ctxt)
2027 {
2028         struct x86_emulate_ops *ops = ctxt->ops;
2029         struct desc_struct cs, ss;
2030         u64 msr_data;
2031         u16 cs_sel, ss_sel;
2032         u64 efer = 0;
2033
2034         ops->get_msr(ctxt, MSR_EFER, &efer);
2035         /* inject #GP if in real mode */
2036         if (ctxt->mode == X86EMUL_MODE_REAL)
2037                 return emulate_gp(ctxt, 0);
2038
2039         /* XXX sysenter/sysexit have not been tested in 64bit mode.
2040         * Therefore, we inject an #UD.
2041         */
2042         if (ctxt->mode == X86EMUL_MODE_PROT64)
2043                 return emulate_ud(ctxt);
2044
2045         setup_syscalls_segments(ctxt, &cs, &ss);
2046
2047         ops->get_msr(ctxt, MSR_IA32_SYSENTER_CS, &msr_data);
2048         switch (ctxt->mode) {
2049         case X86EMUL_MODE_PROT32:
2050                 if ((msr_data & 0xfffc) == 0x0)
2051                         return emulate_gp(ctxt, 0);
2052                 break;
2053         case X86EMUL_MODE_PROT64:
2054                 if (msr_data == 0x0)
2055                         return emulate_gp(ctxt, 0);
2056                 break;
2057         }
2058
2059         ctxt->eflags &= ~(EFLG_VM | EFLG_IF | EFLG_RF);
2060         cs_sel = (u16)msr_data;
2061         cs_sel &= ~SELECTOR_RPL_MASK;
2062         ss_sel = cs_sel + 8;
2063         ss_sel &= ~SELECTOR_RPL_MASK;
2064         if (ctxt->mode == X86EMUL_MODE_PROT64 || (efer & EFER_LMA)) {
2065                 cs.d = 0;
2066                 cs.l = 1;
2067         }
2068
2069         ops->set_segment(ctxt, cs_sel, &cs, 0, VCPU_SREG_CS);
2070         ops->set_segment(ctxt, ss_sel, &ss, 0, VCPU_SREG_SS);
2071
2072         ops->get_msr(ctxt, MSR_IA32_SYSENTER_EIP, &msr_data);
2073         ctxt->_eip = msr_data;
2074
2075         ops->get_msr(ctxt, MSR_IA32_SYSENTER_ESP, &msr_data);
2076         ctxt->regs[VCPU_REGS_RSP] = msr_data;
2077
2078         return X86EMUL_CONTINUE;
2079 }
2080
2081 static int em_sysexit(struct x86_emulate_ctxt *ctxt)
2082 {
2083         struct x86_emulate_ops *ops = ctxt->ops;
2084         struct desc_struct cs, ss;
2085         u64 msr_data, rcx, rdx;
2086         int usermode;
2087         u16 cs_sel = 0, ss_sel = 0;
2088
2089         /* inject #GP if in real mode or Virtual 8086 mode */
2090         if (ctxt->mode == X86EMUL_MODE_REAL ||
2091             ctxt->mode == X86EMUL_MODE_VM86)
2092                 return emulate_gp(ctxt, 0);
2093
2094         setup_syscalls_segments(ctxt, &cs, &ss);
2095
2096         if ((ctxt->rex_prefix & 0x8) != 0x0)
2097                 usermode = X86EMUL_MODE_PROT64;
2098         else
2099                 usermode = X86EMUL_MODE_PROT32;
2100
2101         rcx = ctxt->regs[VCPU_REGS_RCX];
2102         rdx = ctxt->regs[VCPU_REGS_RDX];
2103
2104         cs.dpl = 3;
2105         ss.dpl = 3;
2106         ops->get_msr(ctxt, MSR_IA32_SYSENTER_CS, &msr_data);
2107         switch (usermode) {
2108         case X86EMUL_MODE_PROT32:
2109                 cs_sel = (u16)(msr_data + 16);
2110                 if ((msr_data & 0xfffc) == 0x0)
2111                         return emulate_gp(ctxt, 0);
2112                 ss_sel = (u16)(msr_data + 24);
2113                 break;
2114         case X86EMUL_MODE_PROT64:
2115                 cs_sel = (u16)(msr_data + 32);
2116                 if (msr_data == 0x0)
2117                         return emulate_gp(ctxt, 0);
2118                 ss_sel = cs_sel + 8;
2119                 cs.d = 0;
2120                 cs.l = 1;
2121                 if (is_noncanonical_address(rcx) ||
2122                     is_noncanonical_address(rdx))
2123                         return emulate_gp(ctxt, 0);
2124                 break;
2125         }
2126         cs_sel |= SELECTOR_RPL_MASK;
2127         ss_sel |= SELECTOR_RPL_MASK;
2128
2129         ops->set_segment(ctxt, cs_sel, &cs, 0, VCPU_SREG_CS);
2130         ops->set_segment(ctxt, ss_sel, &ss, 0, VCPU_SREG_SS);
2131
2132         ctxt->_eip = rdx;
2133         ctxt->regs[VCPU_REGS_RSP] = rcx;
2134
2135         return X86EMUL_CONTINUE;
2136 }
2137
2138 static bool emulator_bad_iopl(struct x86_emulate_ctxt *ctxt)
2139 {
2140         int iopl;
2141         if (ctxt->mode == X86EMUL_MODE_REAL)
2142                 return false;
2143         if (ctxt->mode == X86EMUL_MODE_VM86)
2144                 return true;
2145         iopl = (ctxt->eflags & X86_EFLAGS_IOPL) >> IOPL_SHIFT;
2146         return ctxt->ops->cpl(ctxt) > iopl;
2147 }
2148
2149 static bool emulator_io_port_access_allowed(struct x86_emulate_ctxt *ctxt,
2150                                             u16 port, u16 len)
2151 {
2152         struct x86_emulate_ops *ops = ctxt->ops;
2153         struct desc_struct tr_seg;
2154         u32 base3;
2155         int r;
2156         u16 tr, io_bitmap_ptr, perm, bit_idx = port & 0x7;
2157         unsigned mask = (1 << len) - 1;
2158         unsigned long base;
2159
2160         ops->get_segment(ctxt, &tr, &tr_seg, &base3, VCPU_SREG_TR);
2161         if (!tr_seg.p)
2162                 return false;
2163         if (desc_limit_scaled(&tr_seg) < 103)
2164                 return false;
2165         base = get_desc_base(&tr_seg);
2166 #ifdef CONFIG_X86_64
2167         base |= ((u64)base3) << 32;
2168 #endif
2169         r = ops->read_std(ctxt, base + 102, &io_bitmap_ptr, 2, NULL);
2170         if (r != X86EMUL_CONTINUE)
2171                 return false;
2172         if (io_bitmap_ptr + port/8 > desc_limit_scaled(&tr_seg))
2173                 return false;
2174         r = ops->read_std(ctxt, base + io_bitmap_ptr + port/8, &perm, 2, NULL);
2175         if (r != X86EMUL_CONTINUE)
2176                 return false;
2177         if ((perm >> bit_idx) & mask)
2178                 return false;
2179         return true;
2180 }
2181
2182 static bool emulator_io_permited(struct x86_emulate_ctxt *ctxt,
2183                                  u16 port, u16 len)
2184 {
2185         if (ctxt->perm_ok)
2186                 return true;
2187
2188         if (emulator_bad_iopl(ctxt))
2189                 if (!emulator_io_port_access_allowed(ctxt, port, len))
2190                         return false;
2191
2192         ctxt->perm_ok = true;
2193
2194         return true;
2195 }
2196
2197 static void save_state_to_tss16(struct x86_emulate_ctxt *ctxt,
2198                                 struct tss_segment_16 *tss)
2199 {
2200         tss->ip = ctxt->_eip;
2201         tss->flag = ctxt->eflags;
2202         tss->ax = ctxt->regs[VCPU_REGS_RAX];
2203         tss->cx = ctxt->regs[VCPU_REGS_RCX];
2204         tss->dx = ctxt->regs[VCPU_REGS_RDX];
2205         tss->bx = ctxt->regs[VCPU_REGS_RBX];
2206         tss->sp = ctxt->regs[VCPU_REGS_RSP];
2207         tss->bp = ctxt->regs[VCPU_REGS_RBP];
2208         tss->si = ctxt->regs[VCPU_REGS_RSI];
2209         tss->di = ctxt->regs[VCPU_REGS_RDI];
2210
2211         tss->es = get_segment_selector(ctxt, VCPU_SREG_ES);
2212         tss->cs = get_segment_selector(ctxt, VCPU_SREG_CS);
2213         tss->ss = get_segment_selector(ctxt, VCPU_SREG_SS);
2214         tss->ds = get_segment_selector(ctxt, VCPU_SREG_DS);
2215         tss->ldt = get_segment_selector(ctxt, VCPU_SREG_LDTR);
2216 }
2217
2218 static int load_state_from_tss16(struct x86_emulate_ctxt *ctxt,
2219                                  struct tss_segment_16 *tss)
2220 {
2221         int ret;
2222         u8 cpl;
2223
2224         ctxt->_eip = tss->ip;
2225         ctxt->eflags = tss->flag | 2;
2226         ctxt->regs[VCPU_REGS_RAX] = tss->ax;
2227         ctxt->regs[VCPU_REGS_RCX] = tss->cx;
2228         ctxt->regs[VCPU_REGS_RDX] = tss->dx;
2229         ctxt->regs[VCPU_REGS_RBX] = tss->bx;
2230         ctxt->regs[VCPU_REGS_RSP] = tss->sp;
2231         ctxt->regs[VCPU_REGS_RBP] = tss->bp;
2232         ctxt->regs[VCPU_REGS_RSI] = tss->si;
2233         ctxt->regs[VCPU_REGS_RDI] = tss->di;
2234
2235         /*
2236          * SDM says that segment selectors are loaded before segment
2237          * descriptors
2238          */
2239         set_segment_selector(ctxt, tss->ldt, VCPU_SREG_LDTR);
2240         set_segment_selector(ctxt, tss->es, VCPU_SREG_ES);
2241         set_segment_selector(ctxt, tss->cs, VCPU_SREG_CS);
2242         set_segment_selector(ctxt, tss->ss, VCPU_SREG_SS);
2243         set_segment_selector(ctxt, tss->ds, VCPU_SREG_DS);
2244
2245         cpl = tss->cs & 3;
2246
2247         /*
2248          * Now load segment descriptors. If fault happenes at this stage
2249          * it is handled in a context of new task
2250          */
2251         ret = __load_segment_descriptor(ctxt, tss->ldt, VCPU_SREG_LDTR, cpl);
2252         if (ret != X86EMUL_CONTINUE)
2253                 return ret;
2254         ret = __load_segment_descriptor(ctxt, tss->es, VCPU_SREG_ES, cpl);
2255         if (ret != X86EMUL_CONTINUE)
2256                 return ret;
2257         ret = __load_segment_descriptor(ctxt, tss->cs, VCPU_SREG_CS, cpl);
2258         if (ret != X86EMUL_CONTINUE)
2259                 return ret;
2260         ret = __load_segment_descriptor(ctxt, tss->ss, VCPU_SREG_SS, cpl);
2261         if (ret != X86EMUL_CONTINUE)
2262                 return ret;
2263         ret = __load_segment_descriptor(ctxt, tss->ds, VCPU_SREG_DS, cpl);
2264         if (ret != X86EMUL_CONTINUE)
2265                 return ret;
2266
2267         return X86EMUL_CONTINUE;
2268 }
2269
2270 static int task_switch_16(struct x86_emulate_ctxt *ctxt,
2271                           u16 tss_selector, u16 old_tss_sel,
2272                           ulong old_tss_base, struct desc_struct *new_desc)
2273 {
2274         struct x86_emulate_ops *ops = ctxt->ops;
2275         struct tss_segment_16 tss_seg;
2276         int ret;
2277         u32 new_tss_base = get_desc_base(new_desc);
2278
2279         ret = ops->read_std(ctxt, old_tss_base, &tss_seg, sizeof tss_seg,
2280                             &ctxt->exception);
2281         if (ret != X86EMUL_CONTINUE)
2282                 /* FIXME: need to provide precise fault address */
2283                 return ret;
2284
2285         save_state_to_tss16(ctxt, &tss_seg);
2286
2287         ret = ops->write_std(ctxt, old_tss_base, &tss_seg, sizeof tss_seg,
2288                              &ctxt->exception);
2289         if (ret != X86EMUL_CONTINUE)
2290                 /* FIXME: need to provide precise fault address */
2291                 return ret;
2292
2293         ret = ops->read_std(ctxt, new_tss_base, &tss_seg, sizeof tss_seg,
2294                             &ctxt->exception);
2295         if (ret != X86EMUL_CONTINUE)
2296                 /* FIXME: need to provide precise fault address */
2297                 return ret;
2298
2299         if (old_tss_sel != 0xffff) {
2300                 tss_seg.prev_task_link = old_tss_sel;
2301
2302                 ret = ops->write_std(ctxt, new_tss_base,
2303                                      &tss_seg.prev_task_link,
2304                                      sizeof tss_seg.prev_task_link,
2305                                      &ctxt->exception);
2306                 if (ret != X86EMUL_CONTINUE)
2307                         /* FIXME: need to provide precise fault address */
2308                         return ret;
2309         }
2310
2311         return load_state_from_tss16(ctxt, &tss_seg);
2312 }
2313
2314 static void save_state_to_tss32(struct x86_emulate_ctxt *ctxt,
2315                                 struct tss_segment_32 *tss)
2316 {
2317         tss->cr3 = ctxt->ops->get_cr(ctxt, 3);
2318         tss->eip = ctxt->_eip;
2319         tss->eflags = ctxt->eflags;
2320         tss->eax = ctxt->regs[VCPU_REGS_RAX];
2321         tss->ecx = ctxt->regs[VCPU_REGS_RCX];
2322         tss->edx = ctxt->regs[VCPU_REGS_RDX];
2323         tss->ebx = ctxt->regs[VCPU_REGS_RBX];
2324         tss->esp = ctxt->regs[VCPU_REGS_RSP];
2325         tss->ebp = ctxt->regs[VCPU_REGS_RBP];
2326         tss->esi = ctxt->regs[VCPU_REGS_RSI];
2327         tss->edi = ctxt->regs[VCPU_REGS_RDI];
2328
2329         tss->es = get_segment_selector(ctxt, VCPU_SREG_ES);
2330         tss->cs = get_segment_selector(ctxt, VCPU_SREG_CS);
2331         tss->ss = get_segment_selector(ctxt, VCPU_SREG_SS);
2332         tss->ds = get_segment_selector(ctxt, VCPU_SREG_DS);
2333         tss->fs = get_segment_selector(ctxt, VCPU_SREG_FS);
2334         tss->gs = get_segment_selector(ctxt, VCPU_SREG_GS);
2335         tss->ldt_selector = get_segment_selector(ctxt, VCPU_SREG_LDTR);
2336 }
2337
2338 static int load_state_from_tss32(struct x86_emulate_ctxt *ctxt,
2339                                  struct tss_segment_32 *tss)
2340 {
2341         int ret;
2342         u8 cpl;
2343
2344         if (ctxt->ops->set_cr(ctxt, 3, tss->cr3))
2345                 return emulate_gp(ctxt, 0);
2346         ctxt->_eip = tss->eip;
2347         ctxt->eflags = tss->eflags | 2;
2348         ctxt->regs[VCPU_REGS_RAX] = tss->eax;
2349         ctxt->regs[VCPU_REGS_RCX] = tss->ecx;
2350         ctxt->regs[VCPU_REGS_RDX] = tss->edx;
2351         ctxt->regs[VCPU_REGS_RBX] = tss->ebx;
2352         ctxt->regs[VCPU_REGS_RSP] = tss->esp;
2353         ctxt->regs[VCPU_REGS_RBP] = tss->ebp;
2354         ctxt->regs[VCPU_REGS_RSI] = tss->esi;
2355         ctxt->regs[VCPU_REGS_RDI] = tss->edi;
2356
2357         /*
2358          * SDM says that segment selectors are loaded before segment
2359          * descriptors.  This is important because CPL checks will
2360          * use CS.RPL.
2361          */
2362         set_segment_selector(ctxt, tss->ldt_selector, VCPU_SREG_LDTR);
2363         set_segment_selector(ctxt, tss->es, VCPU_SREG_ES);
2364         set_segment_selector(ctxt, tss->cs, VCPU_SREG_CS);
2365         set_segment_selector(ctxt, tss->ss, VCPU_SREG_SS);
2366         set_segment_selector(ctxt, tss->ds, VCPU_SREG_DS);
2367         set_segment_selector(ctxt, tss->fs, VCPU_SREG_FS);
2368         set_segment_selector(ctxt, tss->gs, VCPU_SREG_GS);
2369
2370         cpl = tss->cs & 3;
2371
2372         /*
2373          * Now load segment descriptors. If fault happenes at this stage
2374          * it is handled in a context of new task
2375          */
2376         ret = __load_segment_descriptor(ctxt, tss->ldt_selector, VCPU_SREG_LDTR, cpl);
2377         if (ret != X86EMUL_CONTINUE)
2378                 return ret;
2379         ret = __load_segment_descriptor(ctxt, tss->es, VCPU_SREG_ES, cpl);
2380         if (ret != X86EMUL_CONTINUE)
2381                 return ret;
2382         ret = __load_segment_descriptor(ctxt, tss->cs, VCPU_SREG_CS, cpl);
2383         if (ret != X86EMUL_CONTINUE)
2384                 return ret;
2385         ret = __load_segment_descriptor(ctxt, tss->ss, VCPU_SREG_SS, cpl);
2386         if (ret != X86EMUL_CONTINUE)
2387                 return ret;
2388         ret = __load_segment_descriptor(ctxt, tss->ds, VCPU_SREG_DS, cpl);
2389         if (ret != X86EMUL_CONTINUE)
2390                 return ret;
2391         ret = __load_segment_descriptor(ctxt, tss->fs, VCPU_SREG_FS, cpl);
2392         if (ret != X86EMUL_CONTINUE)
2393                 return ret;
2394         ret = __load_segment_descriptor(ctxt, tss->gs, VCPU_SREG_GS, cpl);
2395         if (ret != X86EMUL_CONTINUE)
2396                 return ret;
2397
2398         return X86EMUL_CONTINUE;
2399 }
2400
2401 static int task_switch_32(struct x86_emulate_ctxt *ctxt,
2402                           u16 tss_selector, u16 old_tss_sel,
2403                           ulong old_tss_base, struct desc_struct *new_desc)
2404 {
2405         struct x86_emulate_ops *ops = ctxt->ops;
2406         struct tss_segment_32 tss_seg;
2407         int ret;
2408         u32 new_tss_base = get_desc_base(new_desc);
2409
2410         ret = ops->read_std(ctxt, old_tss_base, &tss_seg, sizeof tss_seg,
2411                             &ctxt->exception);
2412         if (ret != X86EMUL_CONTINUE)
2413                 /* FIXME: need to provide precise fault address */
2414                 return ret;
2415
2416         save_state_to_tss32(ctxt, &tss_seg);
2417
2418         ret = ops->write_std(ctxt, old_tss_base, &tss_seg, sizeof tss_seg,
2419                              &ctxt->exception);
2420         if (ret != X86EMUL_CONTINUE)
2421                 /* FIXME: need to provide precise fault address */
2422                 return ret;
2423
2424         ret = ops->read_std(ctxt, new_tss_base, &tss_seg, sizeof tss_seg,
2425                             &ctxt->exception);
2426         if (ret != X86EMUL_CONTINUE)
2427                 /* FIXME: need to provide precise fault address */
2428                 return ret;
2429
2430         if (old_tss_sel != 0xffff) {
2431                 tss_seg.prev_task_link = old_tss_sel;
2432
2433                 ret = ops->write_std(ctxt, new_tss_base,
2434                                      &tss_seg.prev_task_link,
2435                                      sizeof tss_seg.prev_task_link,
2436                                      &ctxt->exception);
2437                 if (ret != X86EMUL_CONTINUE)
2438                         /* FIXME: need to provide precise fault address */
2439                         return ret;
2440         }
2441
2442         return load_state_from_tss32(ctxt, &tss_seg);
2443 }
2444
2445 static int emulator_do_task_switch(struct x86_emulate_ctxt *ctxt,
2446                                    u16 tss_selector, int reason,
2447                                    bool has_error_code, u32 error_code)
2448 {
2449         struct x86_emulate_ops *ops = ctxt->ops;
2450         struct desc_struct curr_tss_desc, next_tss_desc;
2451         int ret;
2452         u16 old_tss_sel = get_segment_selector(ctxt, VCPU_SREG_TR);
2453         ulong old_tss_base =
2454                 ops->get_cached_segment_base(ctxt, VCPU_SREG_TR);
2455         u32 desc_limit;
2456
2457         /* FIXME: old_tss_base == ~0 ? */
2458
2459         ret = read_segment_descriptor(ctxt, tss_selector, &next_tss_desc);
2460         if (ret != X86EMUL_CONTINUE)
2461                 return ret;
2462         ret = read_segment_descriptor(ctxt, old_tss_sel, &curr_tss_desc);
2463         if (ret != X86EMUL_CONTINUE)
2464                 return ret;
2465
2466         /* FIXME: check that next_tss_desc is tss */
2467
2468         if (reason != TASK_SWITCH_IRET) {
2469                 if ((tss_selector & 3) > next_tss_desc.dpl ||
2470                     ops->cpl(ctxt) > next_tss_desc.dpl)
2471                         return emulate_gp(ctxt, 0);
2472         }
2473
2474         desc_limit = desc_limit_scaled(&next_tss_desc);
2475         if (!next_tss_desc.p ||
2476             ((desc_limit < 0x67 && (next_tss_desc.type & 8)) ||
2477              desc_limit < 0x2b)) {
2478                 emulate_ts(ctxt, tss_selector & 0xfffc);
2479                 return X86EMUL_PROPAGATE_FAULT;
2480         }
2481
2482         if (reason == TASK_SWITCH_IRET || reason == TASK_SWITCH_JMP) {
2483                 curr_tss_desc.type &= ~(1 << 1); /* clear busy flag */
2484                 write_segment_descriptor(ctxt, old_tss_sel, &curr_tss_desc);
2485         }
2486
2487         if (reason == TASK_SWITCH_IRET)
2488                 ctxt->eflags = ctxt->eflags & ~X86_EFLAGS_NT;
2489
2490         /* set back link to prev task only if NT bit is set in eflags
2491            note that old_tss_sel is not used afetr this point */
2492         if (reason != TASK_SWITCH_CALL && reason != TASK_SWITCH_GATE)
2493                 old_tss_sel = 0xffff;
2494
2495         if (next_tss_desc.type & 8)
2496                 ret = task_switch_32(ctxt, tss_selector, old_tss_sel,
2497                                      old_tss_base, &next_tss_desc);
2498         else
2499                 ret = task_switch_16(ctxt, tss_selector, old_tss_sel,
2500                                      old_tss_base, &next_tss_desc);
2501         if (ret != X86EMUL_CONTINUE)
2502                 return ret;
2503
2504         if (reason == TASK_SWITCH_CALL || reason == TASK_SWITCH_GATE)
2505                 ctxt->eflags = ctxt->eflags | X86_EFLAGS_NT;
2506
2507         if (reason != TASK_SWITCH_IRET) {
2508                 next_tss_desc.type |= (1 << 1); /* set busy flag */
2509                 write_segment_descriptor(ctxt, tss_selector, &next_tss_desc);
2510         }
2511
2512         ops->set_cr(ctxt, 0,  ops->get_cr(ctxt, 0) | X86_CR0_TS);
2513         ops->set_segment(ctxt, tss_selector, &next_tss_desc, 0, VCPU_SREG_TR);
2514
2515         if (has_error_code) {
2516                 ctxt->op_bytes = ctxt->ad_bytes = (next_tss_desc.type & 8) ? 4 : 2;
2517                 ctxt->lock_prefix = 0;
2518                 ctxt->src.val = (unsigned long) error_code;
2519                 ret = em_push(ctxt);
2520         }
2521
2522         return ret;
2523 }
2524
2525 int emulator_task_switch(struct x86_emulate_ctxt *ctxt,
2526                          u16 tss_selector, int reason,
2527                          bool has_error_code, u32 error_code)
2528 {
2529         int rc;
2530
2531         ctxt->_eip = ctxt->eip;
2532         ctxt->dst.type = OP_NONE;
2533
2534         rc = emulator_do_task_switch(ctxt, tss_selector, reason,
2535                                      has_error_code, error_code);
2536
2537         if (rc == X86EMUL_CONTINUE)
2538                 ctxt->eip = ctxt->_eip;
2539
2540         return (rc == X86EMUL_UNHANDLEABLE) ? EMULATION_FAILED : EMULATION_OK;
2541 }
2542
2543 static void string_addr_inc(struct x86_emulate_ctxt *ctxt, unsigned seg,
2544                             int reg, struct operand *op)
2545 {
2546         int df = (ctxt->eflags & EFLG_DF) ? -1 : 1;
2547
2548         register_address_increment(ctxt, &ctxt->regs[reg], df * op->bytes);
2549         op->addr.mem.ea = register_address(ctxt, ctxt->regs[reg]);
2550         op->addr.mem.seg = seg;
2551 }
2552
2553 static int em_das(struct x86_emulate_ctxt *ctxt)
2554 {
2555         u8 al, old_al;
2556         bool af, cf, old_cf;
2557
2558         cf = ctxt->eflags & X86_EFLAGS_CF;
2559         al = ctxt->dst.val;
2560
2561         old_al = al;
2562         old_cf = cf;
2563         cf = false;
2564         af = ctxt->eflags & X86_EFLAGS_AF;
2565         if ((al & 0x0f) > 9 || af) {
2566                 al -= 6;
2567                 cf = old_cf | (al >= 250);
2568                 af = true;
2569         } else {
2570                 af = false;
2571         }
2572         if (old_al > 0x99 || old_cf) {
2573                 al -= 0x60;
2574                 cf = true;
2575         }
2576
2577         ctxt->dst.val = al;
2578         /* Set PF, ZF, SF */
2579         ctxt->src.type = OP_IMM;
2580         ctxt->src.val = 0;
2581         ctxt->src.bytes = 1;
2582         emulate_2op_SrcV(ctxt, "or");
2583         ctxt->eflags &= ~(X86_EFLAGS_AF | X86_EFLAGS_CF);
2584         if (cf)
2585                 ctxt->eflags |= X86_EFLAGS_CF;
2586         if (af)
2587                 ctxt->eflags |= X86_EFLAGS_AF;
2588         return X86EMUL_CONTINUE;
2589 }
2590
2591 static int em_call(struct x86_emulate_ctxt *ctxt)
2592 {
2593         int rc;
2594         long rel = ctxt->src.val;
2595
2596         ctxt->src.val = (unsigned long)ctxt->_eip;
2597         rc = jmp_rel(ctxt, rel);
2598         if (rc != X86EMUL_CONTINUE)
2599                 return rc;
2600         return em_push(ctxt);
2601 }
2602
2603 static int em_call_far(struct x86_emulate_ctxt *ctxt)
2604 {
2605         u16 sel, old_cs;
2606         ulong old_eip;
2607         int rc;
2608
2609         old_cs = get_segment_selector(ctxt, VCPU_SREG_CS);
2610         old_eip = ctxt->_eip;
2611
2612         memcpy(&sel, ctxt->src.valptr + ctxt->op_bytes, 2);
2613         if (load_segment_descriptor(ctxt, sel, VCPU_SREG_CS))
2614                 return X86EMUL_CONTINUE;
2615
2616         ctxt->_eip = 0;
2617         memcpy(&ctxt->_eip, ctxt->src.valptr, ctxt->op_bytes);
2618
2619         ctxt->src.val = old_cs;
2620         rc = em_push(ctxt);
2621         if (rc != X86EMUL_CONTINUE)
2622                 return rc;
2623
2624         ctxt->src.val = old_eip;
2625         return em_push(ctxt);
2626 }
2627
2628 static int em_ret_near_imm(struct x86_emulate_ctxt *ctxt)
2629 {
2630         int rc;
2631         unsigned long eip;
2632
2633         rc = emulate_pop(ctxt, &eip, ctxt->op_bytes);
2634         if (rc != X86EMUL_CONTINUE)
2635                 return rc;
2636         rc = assign_eip_near(ctxt, eip);
2637         if (rc != X86EMUL_CONTINUE)
2638                 return rc;
2639         register_address_increment(ctxt, &ctxt->regs[VCPU_REGS_RSP], ctxt->src.val);
2640         return X86EMUL_CONTINUE;
2641 }
2642
2643 static int em_add(struct x86_emulate_ctxt *ctxt)
2644 {
2645         emulate_2op_SrcV(ctxt, "add");
2646         return X86EMUL_CONTINUE;
2647 }
2648
2649 static int em_or(struct x86_emulate_ctxt *ctxt)
2650 {
2651         emulate_2op_SrcV(ctxt, "or");
2652         return X86EMUL_CONTINUE;
2653 }
2654
2655 static int em_adc(struct x86_emulate_ctxt *ctxt)
2656 {
2657         emulate_2op_SrcV(ctxt, "adc");
2658         return X86EMUL_CONTINUE;
2659 }
2660
2661 static int em_sbb(struct x86_emulate_ctxt *ctxt)
2662 {
2663         emulate_2op_SrcV(ctxt, "sbb");
2664         return X86EMUL_CONTINUE;
2665 }
2666
2667 static int em_and(struct x86_emulate_ctxt *ctxt)
2668 {
2669         emulate_2op_SrcV(ctxt, "and");
2670         return X86EMUL_CONTINUE;
2671 }
2672
2673 static int em_sub(struct x86_emulate_ctxt *ctxt)
2674 {
2675         emulate_2op_SrcV(ctxt, "sub");
2676         return X86EMUL_CONTINUE;
2677 }
2678
2679 static int em_xor(struct x86_emulate_ctxt *ctxt)
2680 {
2681         emulate_2op_SrcV(ctxt, "xor");
2682         return X86EMUL_CONTINUE;
2683 }
2684
2685 static int em_cmp(struct x86_emulate_ctxt *ctxt)
2686 {
2687         emulate_2op_SrcV(ctxt, "cmp");
2688         /* Disable writeback. */
2689         ctxt->dst.type = OP_NONE;
2690         return X86EMUL_CONTINUE;
2691 }
2692
2693 static int em_test(struct x86_emulate_ctxt *ctxt)
2694 {
2695         emulate_2op_SrcV(ctxt, "test");
2696         /* Disable writeback. */
2697         ctxt->dst.type = OP_NONE;
2698         return X86EMUL_CONTINUE;
2699 }
2700
2701 static int em_xchg(struct x86_emulate_ctxt *ctxt)
2702 {
2703         /* Write back the register source. */
2704         ctxt->src.val = ctxt->dst.val;
2705         write_register_operand(&ctxt->src);
2706
2707         /* Write back the memory destination with implicit LOCK prefix. */
2708         ctxt->dst.val = ctxt->src.orig_val;
2709         ctxt->lock_prefix = 1;
2710         return X86EMUL_CONTINUE;
2711 }
2712
2713 static int em_imul(struct x86_emulate_ctxt *ctxt)
2714 {
2715         emulate_2op_SrcV_nobyte(ctxt, "imul");
2716         return X86EMUL_CONTINUE;
2717 }
2718
2719 static int em_imul_3op(struct x86_emulate_ctxt *ctxt)
2720 {
2721         ctxt->dst.val = ctxt->src2.val;
2722         return em_imul(ctxt);
2723 }
2724
2725 static int em_cwd(struct x86_emulate_ctxt *ctxt)
2726 {
2727         ctxt->dst.type = OP_REG;
2728         ctxt->dst.bytes = ctxt->src.bytes;
2729         ctxt->dst.addr.reg = &ctxt->regs[VCPU_REGS_RDX];
2730         ctxt->dst.val = ~((ctxt->src.val >> (ctxt->src.bytes * 8 - 1)) - 1);
2731
2732         return X86EMUL_CONTINUE;
2733 }
2734
2735 static int em_rdtsc(struct x86_emulate_ctxt *ctxt)
2736 {
2737         u64 tsc = 0;
2738
2739         ctxt->ops->get_msr(ctxt, MSR_IA32_TSC, &tsc);
2740         ctxt->regs[VCPU_REGS_RAX] = (u32)tsc;
2741         ctxt->regs[VCPU_REGS_RDX] = tsc >> 32;
2742         return X86EMUL_CONTINUE;
2743 }
2744
2745 static int em_mov(struct x86_emulate_ctxt *ctxt)
2746 {
2747         ctxt->dst.val = ctxt->src.val;
2748         return X86EMUL_CONTINUE;
2749 }
2750
2751 static int em_mov_rm_sreg(struct x86_emulate_ctxt *ctxt)
2752 {
2753         if (ctxt->modrm_reg > VCPU_SREG_GS)
2754                 return emulate_ud(ctxt);
2755
2756         ctxt->dst.val = get_segment_selector(ctxt, ctxt->modrm_reg);
2757         return X86EMUL_CONTINUE;
2758 }
2759
2760 static int em_mov_sreg_rm(struct x86_emulate_ctxt *ctxt)
2761 {
2762         u16 sel = ctxt->src.val;
2763
2764         if (ctxt->modrm_reg == VCPU_SREG_CS || ctxt->modrm_reg > VCPU_SREG_GS)
2765                 return emulate_ud(ctxt);
2766
2767         if (ctxt->modrm_reg == VCPU_SREG_SS)
2768                 ctxt->interruptibility = KVM_X86_SHADOW_INT_MOV_SS;
2769
2770         /* Disable writeback. */
2771         ctxt->dst.type = OP_NONE;
2772         return load_segment_descriptor(ctxt, sel, ctxt->modrm_reg);
2773 }
2774
2775 static int em_movdqu(struct x86_emulate_ctxt *ctxt)
2776 {
2777         memcpy(&ctxt->dst.vec_val, &ctxt->src.vec_val, ctxt->op_bytes);
2778         return X86EMUL_CONTINUE;
2779 }
2780
2781 static int em_invlpg(struct x86_emulate_ctxt *ctxt)
2782 {
2783         int rc;
2784         ulong linear;
2785
2786         rc = linearize(ctxt, ctxt->src.addr.mem, 1, false, &linear);
2787         if (rc == X86EMUL_CONTINUE)
2788                 ctxt->ops->invlpg(ctxt, linear);
2789         /* Disable writeback. */
2790         ctxt->dst.type = OP_NONE;
2791         return X86EMUL_CONTINUE;
2792 }
2793
2794 static int em_clts(struct x86_emulate_ctxt *ctxt)
2795 {
2796         ulong cr0;
2797
2798         cr0 = ctxt->ops->get_cr(ctxt, 0);
2799         cr0 &= ~X86_CR0_TS;
2800         ctxt->ops->set_cr(ctxt, 0, cr0);
2801         return X86EMUL_CONTINUE;
2802 }
2803
2804 static int em_vmcall(struct x86_emulate_ctxt *ctxt)
2805 {
2806         int rc;
2807
2808         if (ctxt->modrm_mod != 3 || ctxt->modrm_rm != 1)
2809                 return X86EMUL_UNHANDLEABLE;
2810
2811         rc = ctxt->ops->fix_hypercall(ctxt);
2812         if (rc != X86EMUL_CONTINUE)
2813                 return rc;
2814
2815         /* Let the processor re-execute the fixed hypercall */
2816         ctxt->_eip = ctxt->eip;
2817         /* Disable writeback. */
2818         ctxt->dst.type = OP_NONE;
2819         return X86EMUL_CONTINUE;
2820 }
2821
2822 static int em_lgdt(struct x86_emulate_ctxt *ctxt)
2823 {
2824         struct desc_ptr desc_ptr;
2825         int rc;
2826
2827         rc = read_descriptor(ctxt, ctxt->src.addr.mem,
2828                              &desc_ptr.size, &desc_ptr.address,
2829                              ctxt->op_bytes);
2830         if (rc != X86EMUL_CONTINUE)
2831                 return rc;
2832         ctxt->ops->set_gdt(ctxt, &desc_ptr);
2833         /* Disable writeback. */
2834         ctxt->dst.type = OP_NONE;
2835         return X86EMUL_CONTINUE;
2836 }
2837
2838 static int em_vmmcall(struct x86_emulate_ctxt *ctxt)
2839 {
2840         int rc;
2841
2842         rc = ctxt->ops->fix_hypercall(ctxt);
2843
2844         /* Disable writeback. */
2845         ctxt->dst.type = OP_NONE;
2846         return rc;
2847 }
2848
2849 static int em_lidt(struct x86_emulate_ctxt *ctxt)
2850 {
2851         struct desc_ptr desc_ptr;
2852         int rc;
2853
2854         rc = read_descriptor(ctxt, ctxt->src.addr.mem,
2855                              &desc_ptr.size, &desc_ptr.address,
2856                              ctxt->op_bytes);
2857         if (rc != X86EMUL_CONTINUE)
2858                 return rc;
2859         ctxt->ops->set_idt(ctxt, &desc_ptr);
2860         /* Disable writeback. */
2861         ctxt->dst.type = OP_NONE;
2862         return X86EMUL_CONTINUE;
2863 }
2864
2865 static int em_smsw(struct x86_emulate_ctxt *ctxt)
2866 {
2867         ctxt->dst.bytes = 2;
2868         ctxt->dst.val = ctxt->ops->get_cr(ctxt, 0);
2869         return X86EMUL_CONTINUE;
2870 }
2871
2872 static int em_lmsw(struct x86_emulate_ctxt *ctxt)
2873 {
2874         ctxt->ops->set_cr(ctxt, 0, (ctxt->ops->get_cr(ctxt, 0) & ~0x0eul)
2875                           | (ctxt->src.val & 0x0f));
2876         ctxt->dst.type = OP_NONE;
2877         return X86EMUL_CONTINUE;
2878 }
2879
2880 static int em_loop(struct x86_emulate_ctxt *ctxt)
2881 {
2882         int rc = X86EMUL_CONTINUE;
2883
2884         register_address_increment(ctxt, &ctxt->regs[VCPU_REGS_RCX], -1);
2885         if ((address_mask(ctxt, ctxt->regs[VCPU_REGS_RCX]) != 0) &&
2886             (ctxt->b == 0xe2 || test_cc(ctxt->b ^ 0x5, ctxt->eflags)))
2887                 rc = jmp_rel(ctxt, ctxt->src.val);
2888
2889         return rc;
2890 }
2891
2892 static int em_jcxz(struct x86_emulate_ctxt *ctxt)
2893 {
2894         int rc = X86EMUL_CONTINUE;
2895
2896         if (address_mask(ctxt, ctxt->regs[VCPU_REGS_RCX]) == 0)
2897                 rc = jmp_rel(ctxt, ctxt->src.val);
2898
2899         return rc;
2900 }
2901
2902 static int em_cli(struct x86_emulate_ctxt *ctxt)
2903 {
2904         if (emulator_bad_iopl(ctxt))
2905                 return emulate_gp(ctxt, 0);
2906
2907         ctxt->eflags &= ~X86_EFLAGS_IF;
2908         return X86EMUL_CONTINUE;
2909 }
2910
2911 static int em_sti(struct x86_emulate_ctxt *ctxt)
2912 {
2913         if (emulator_bad_iopl(ctxt))
2914                 return emulate_gp(ctxt, 0);
2915
2916         ctxt->interruptibility = KVM_X86_SHADOW_INT_STI;
2917         ctxt->eflags |= X86_EFLAGS_IF;
2918         return X86EMUL_CONTINUE;
2919 }
2920
2921 static bool valid_cr(int nr)
2922 {
2923         switch (nr) {
2924         case 0:
2925         case 2 ... 4:
2926         case 8:
2927                 return true;
2928         default:
2929                 return false;
2930         }
2931 }
2932
2933 static int check_cr_read(struct x86_emulate_ctxt *ctxt)
2934 {
2935         if (!valid_cr(ctxt->modrm_reg))
2936                 return emulate_ud(ctxt);
2937
2938         return X86EMUL_CONTINUE;
2939 }
2940
2941 static int check_cr_write(struct x86_emulate_ctxt *ctxt)
2942 {
2943         u64 new_val = ctxt->src.val64;
2944         int cr = ctxt->modrm_reg;
2945         u64 efer = 0;
2946
2947         static u64 cr_reserved_bits[] = {
2948                 0xffffffff00000000ULL,
2949                 0, 0, 0, /* CR3 checked later */
2950                 CR4_RESERVED_BITS,
2951                 0, 0, 0,
2952                 CR8_RESERVED_BITS,
2953         };
2954
2955         if (!valid_cr(cr))
2956                 return emulate_ud(ctxt);
2957
2958         if (new_val & cr_reserved_bits[cr])
2959                 return emulate_gp(ctxt, 0);
2960
2961         switch (cr) {
2962         case 0: {
2963                 u64 cr4;
2964                 if (((new_val & X86_CR0_PG) && !(new_val & X86_CR0_PE)) ||
2965                     ((new_val & X86_CR0_NW) && !(new_val & X86_CR0_CD)))
2966                         return emulate_gp(ctxt, 0);
2967
2968                 cr4 = ctxt->ops->get_cr(ctxt, 4);
2969                 ctxt->ops->get_msr(ctxt, MSR_EFER, &efer);
2970
2971                 if ((new_val & X86_CR0_PG) && (efer & EFER_LME) &&
2972                     !(cr4 & X86_CR4_PAE))
2973                         return emulate_gp(ctxt, 0);
2974
2975                 break;
2976                 }
2977         case 3: {
2978                 u64 rsvd = 0;
2979
2980                 ctxt->ops->get_msr(ctxt, MSR_EFER, &efer);
2981                 if (efer & EFER_LMA)
2982                         rsvd = CR3_L_MODE_RESERVED_BITS;
2983                 else if (ctxt->ops->get_cr(ctxt, 4) & X86_CR4_PAE)
2984                         rsvd = CR3_PAE_RESERVED_BITS;
2985                 else if (ctxt->ops->get_cr(ctxt, 0) & X86_CR0_PG)
2986                         rsvd = CR3_NONPAE_RESERVED_BITS;
2987
2988                 if (new_val & rsvd)
2989                         return emulate_gp(ctxt, 0);
2990
2991                 break;
2992                 }
2993         case 4: {
2994                 u64 cr4;
2995
2996                 cr4 = ctxt->ops->get_cr(ctxt, 4);
2997                 ctxt->ops->get_msr(ctxt, MSR_EFER, &efer);
2998
2999                 if ((efer & EFER_LMA) && !(new_val & X86_CR4_PAE))
3000                         return emulate_gp(ctxt, 0);
3001
3002                 break;
3003                 }
3004         }
3005
3006         return X86EMUL_CONTINUE;
3007 }
3008
3009 static int check_dr7_gd(struct x86_emulate_ctxt *ctxt)
3010 {
3011         unsigned long dr7;
3012
3013         ctxt->ops->get_dr(ctxt, 7, &dr7);
3014
3015         /* Check if DR7.Global_Enable is set */
3016         return dr7 & (1 << 13);
3017 }
3018
3019 static int check_dr_read(struct x86_emulate_ctxt *ctxt)
3020 {
3021         int dr = ctxt->modrm_reg;
3022         u64 cr4;
3023
3024         if (dr > 7)
3025                 return emulate_ud(ctxt);
3026
3027         cr4 = ctxt->ops->get_cr(ctxt, 4);
3028         if ((cr4 & X86_CR4_DE) && (dr == 4 || dr == 5))
3029                 return emulate_ud(ctxt);
3030
3031         if (check_dr7_gd(ctxt))
3032                 return emulate_db(ctxt);
3033
3034         return X86EMUL_CONTINUE;
3035 }
3036
3037 static int check_dr_write(struct x86_emulate_ctxt *ctxt)
3038 {
3039         u64 new_val = ctxt->src.val64;
3040         int dr = ctxt->modrm_reg;
3041
3042         if ((dr == 6 || dr == 7) && (new_val & 0xffffffff00000000ULL))
3043                 return emulate_gp(ctxt, 0);
3044
3045         return check_dr_read(ctxt);
3046 }
3047
3048 static int check_svme(struct x86_emulate_ctxt *ctxt)
3049 {
3050         u64 efer;
3051
3052         ctxt->ops->get_msr(ctxt, MSR_EFER, &efer);
3053
3054         if (!(efer & EFER_SVME))
3055                 return emulate_ud(ctxt);
3056
3057         return X86EMUL_CONTINUE;
3058 }
3059
3060 static int check_svme_pa(struct x86_emulate_ctxt *ctxt)
3061 {
3062         u64 rax = ctxt->regs[VCPU_REGS_RAX];
3063
3064         /* Valid physical address? */
3065         if (rax & 0xffff000000000000ULL)
3066                 return emulate_gp(ctxt, 0);
3067
3068         return check_svme(ctxt);
3069 }
3070
3071 static int check_rdtsc(struct x86_emulate_ctxt *ctxt)
3072 {
3073         u64 cr4 = ctxt->ops->get_cr(ctxt, 4);
3074
3075         if (cr4 & X86_CR4_TSD && ctxt->ops->cpl(ctxt))
3076                 return emulate_ud(ctxt);
3077
3078         return X86EMUL_CONTINUE;
3079 }
3080
3081 static int check_rdpmc(struct x86_emulate_ctxt *ctxt)
3082 {
3083         u64 cr4 = ctxt->ops->get_cr(ctxt, 4);
3084         u64 rcx = ctxt->regs[VCPU_REGS_RCX];
3085
3086         if ((!(cr4 & X86_CR4_PCE) && ctxt->ops->cpl(ctxt)) ||
3087             (rcx > 3))
3088                 return emulate_gp(ctxt, 0);
3089
3090         return X86EMUL_CONTINUE;
3091 }
3092
3093 static int check_perm_in(struct x86_emulate_ctxt *ctxt)
3094 {
3095         ctxt->dst.bytes = min(ctxt->dst.bytes, 4u);
3096         if (!emulator_io_permited(ctxt, ctxt->src.val, ctxt->dst.bytes))
3097                 return emulate_gp(ctxt, 0);
3098
3099         return X86EMUL_CONTINUE;
3100 }
3101
3102 static int check_perm_out(struct x86_emulate_ctxt *ctxt)
3103 {
3104         ctxt->src.bytes = min(ctxt->src.bytes, 4u);
3105         if (!emulator_io_permited(ctxt, ctxt->dst.val, ctxt->src.bytes))
3106                 return emulate_gp(ctxt, 0);
3107
3108         return X86EMUL_CONTINUE;
3109 }
3110
3111 #define D(_y) { .flags = (_y) }
3112 #define DI(_y, _i) { .flags = (_y), .intercept = x86_intercept_##_i }
3113 #define DIP(_y, _i, _p) { .flags = (_y), .intercept = x86_intercept_##_i, \
3114                       .check_perm = (_p) }
3115 #define N    D(0)
3116 #define EXT(_f, _e) { .flags = ((_f) | RMExt), .u.group = (_e) }
3117 #define G(_f, _g) { .flags = ((_f) | Group), .u.group = (_g) }
3118 #define GD(_f, _g) { .flags = ((_f) | GroupDual), .u.gdual = (_g) }
3119 #define I(_f, _e) { .flags = (_f), .u.execute = (_e) }
3120 #define II(_f, _e, _i) \
3121         { .flags = (_f), .u.execute = (_e), .intercept = x86_intercept_##_i }
3122 #define IIP(_f, _e, _i, _p) \
3123         { .flags = (_f), .u.execute = (_e), .intercept = x86_intercept_##_i, \
3124           .check_perm = (_p) }
3125 #define GP(_f, _g) { .flags = ((_f) | Prefix), .u.gprefix = (_g) }
3126
3127 #define D2bv(_f)      D((_f) | ByteOp), D(_f)
3128 #define D2bvIP(_f, _i, _p) DIP((_f) | ByteOp, _i, _p), DIP(_f, _i, _p)
3129 #define I2bv(_f, _e)  I((_f) | ByteOp, _e), I(_f, _e)
3130
3131 #define I6ALU(_f, _e) I2bv((_f) | DstMem | SrcReg | ModRM, _e),         \
3132                 I2bv(((_f) | DstReg | SrcMem | ModRM) & ~Lock, _e),     \
3133                 I2bv(((_f) & ~Lock) | DstAcc | SrcImm, _e)
3134
3135 static struct opcode group7_rm1[] = {
3136         DI(SrcNone | ModRM | Priv, monitor),
3137         DI(SrcNone | ModRM | Priv, mwait),
3138         N, N, N, N, N, N,
3139 };
3140
3141 static struct opcode group7_rm3[] = {
3142         DIP(SrcNone | ModRM | Prot | Priv, vmrun,   check_svme_pa),
3143         II(SrcNone | ModRM | Prot | VendorSpecific, em_vmmcall, vmmcall),
3144         DIP(SrcNone | ModRM | Prot | Priv, vmload,  check_svme_pa),
3145         DIP(SrcNone | ModRM | Prot | Priv, vmsave,  check_svme_pa),
3146         DIP(SrcNone | ModRM | Prot | Priv, stgi,    check_svme),
3147         DIP(SrcNone | ModRM | Prot | Priv, clgi,    check_svme),
3148         DIP(SrcNone | ModRM | Prot | Priv, skinit,  check_svme),
3149         DIP(SrcNone | ModRM | Prot | Priv, invlpga, check_svme),
3150 };
3151
3152 static struct opcode group7_rm7[] = {
3153         N,
3154         DIP(SrcNone | ModRM, rdtscp, check_rdtsc),
3155         N, N, N, N, N, N,
3156 };
3157
3158 static struct opcode group1[] = {
3159         I(Lock, em_add),
3160         I(Lock, em_or),
3161         I(Lock, em_adc),
3162         I(Lock, em_sbb),
3163         I(Lock, em_and),
3164         I(Lock, em_sub),
3165         I(Lock, em_xor),
3166         I(0, em_cmp),
3167 };
3168
3169 static struct opcode group1A[] = {
3170         D(DstMem | SrcNone | ModRM | Mov | Stack), N, N, N, N, N, N, N,
3171 };
3172
3173 static struct opcode group3[] = {
3174         I(DstMem | SrcImm | ModRM, em_test),
3175         I(DstMem | SrcImm | ModRM, em_test),
3176         I(DstMem | SrcNone | ModRM | Lock, em_not),
3177         I(DstMem | SrcNone | ModRM | Lock, em_neg),
3178         I(SrcMem | ModRM, em_mul_ex),
3179         I(SrcMem | ModRM, em_imul_ex),
3180         I(SrcMem | ModRM, em_div_ex),
3181         I(SrcMem | ModRM, em_idiv_ex),
3182 };
3183
3184 static struct opcode group4[] = {
3185         D(ByteOp | DstMem | SrcNone | ModRM | Lock), D(ByteOp | DstMem | SrcNone | ModRM | Lock),
3186         N, N, N, N, N, N,
3187 };
3188
3189 static struct opcode group5[] = {
3190         D(DstMem | SrcNone | ModRM | Lock), D(DstMem | SrcNone | ModRM | Lock),
3191         D(SrcMem | ModRM | Stack),
3192         I(SrcMemFAddr | ModRM | ImplicitOps | Stack, em_call_far),
3193         D(SrcMem | ModRM | Stack), D(SrcMemFAddr | ModRM | ImplicitOps),
3194         D(SrcMem | ModRM | Stack), N,
3195 };
3196
3197 static struct opcode group6[] = {
3198         DI(ModRM | Prot,        sldt),
3199         DI(ModRM | Prot,        str),
3200         DI(ModRM | Prot | Priv, lldt),
3201         DI(ModRM | Prot | Priv, ltr),
3202         N, N, N, N,
3203 };
3204
3205 static struct group_dual group7 = { {
3206         DI(ModRM | Mov | DstMem | Priv, sgdt),
3207         DI(ModRM | Mov | DstMem | Priv, sidt),
3208         II(ModRM | SrcMem | Priv, em_lgdt, lgdt),
3209         II(ModRM | SrcMem | Priv, em_lidt, lidt),
3210         II(SrcNone | ModRM | DstMem | Mov, em_smsw, smsw), N,
3211         II(SrcMem16 | ModRM | Mov | Priv, em_lmsw, lmsw),
3212         II(SrcMem | ModRM | ByteOp | Priv | NoAccess, em_invlpg, invlpg),
3213 }, {
3214         I(SrcNone | ModRM | Priv | VendorSpecific, em_vmcall),
3215         EXT(0, group7_rm1),
3216         N, EXT(0, group7_rm3),
3217         II(SrcNone | ModRM | DstMem | Mov, em_smsw, smsw), N,
3218         II(SrcMem16 | ModRM | Mov | Priv, em_lmsw, lmsw), EXT(0, group7_rm7),
3219 } };
3220
3221 static struct opcode group8[] = {
3222         N, N, N, N,
3223         D(DstMem | SrcImmByte | ModRM), D(DstMem | SrcImmByte | ModRM | Lock),
3224         D(DstMem | SrcImmByte | ModRM | Lock), D(DstMem | SrcImmByte | ModRM | Lock),
3225 };
3226
3227 static struct group_dual group9 = { {
3228         N, D(DstMem64 | ModRM | Lock), N, N, N, N, N, N,
3229 }, {
3230         N, N, N, N, N, N, N, N,
3231 } };
3232
3233 static struct opcode group11[] = {
3234         I(DstMem | SrcImm | ModRM | Mov, em_mov), X7(D(Undefined)),
3235 };
3236
3237 static struct gprefix pfx_0f_6f_0f_7f = {
3238         N, N, N, I(Sse, em_movdqu),
3239 };
3240
3241 static struct opcode opcode_table[256] = {
3242         /* 0x00 - 0x07 */
3243         I6ALU(Lock, em_add),
3244         I(ImplicitOps | Stack | No64 | Src2ES, em_push_sreg),
3245         I(ImplicitOps | Stack | No64 | Src2ES, em_pop_sreg),
3246         /* 0x08 - 0x0F */
3247         I6ALU(Lock, em_or),
3248         I(ImplicitOps | Stack | No64 | Src2CS, em_push_sreg),
3249         N,
3250         /* 0x10 - 0x17 */
3251         I6ALU(Lock, em_adc),
3252         I(ImplicitOps | Stack | No64 | Src2SS, em_push_sreg),
3253         I(ImplicitOps | Stack | No64 | Src2SS, em_pop_sreg),
3254         /* 0x18 - 0x1F */
3255         I6ALU(Lock, em_sbb),
3256         I(ImplicitOps | Stack | No64 | Src2DS, em_push_sreg),
3257         I(ImplicitOps | Stack | No64 | Src2DS, em_pop_sreg),
3258         /* 0x20 - 0x27 */
3259         I6ALU(Lock, em_and), N, N,
3260         /* 0x28 - 0x2F */
3261         I6ALU(Lock, em_sub), N, I(ByteOp | DstAcc | No64, em_das),
3262         /* 0x30 - 0x37 */
3263         I6ALU(Lock, em_xor), N, N,
3264         /* 0x38 - 0x3F */
3265         I6ALU(0, em_cmp), N, N,
3266         /* 0x40 - 0x4F */
3267         X16(D(DstReg)),
3268         /* 0x50 - 0x57 */
3269         X8(I(SrcReg | Stack, em_push)),
3270         /* 0x58 - 0x5F */
3271         X8(I(DstReg | Stack, em_pop)),
3272         /* 0x60 - 0x67 */
3273         I(ImplicitOps | Stack | No64, em_pusha),
3274         I(ImplicitOps | Stack | No64, em_popa),
3275         N, D(DstReg | SrcMem32 | ModRM | Mov) /* movsxd (x86/64) */ ,
3276         N, N, N, N,
3277         /* 0x68 - 0x6F */
3278         I(SrcImm | Mov | Stack, em_push),
3279         I(DstReg | SrcMem | ModRM | Src2Imm, em_imul_3op),
3280         I(SrcImmByte | Mov | Stack, em_push),
3281         I(DstReg | SrcMem | ModRM | Src2ImmByte, em_imul_3op),
3282         D2bvIP(DstDI | SrcDX | Mov | String, ins, check_perm_in), /* insb, insw/insd */
3283         D2bvIP(SrcSI | DstDX | String, outs, check_perm_out), /* outsb, outsw/outsd */
3284         /* 0x70 - 0x7F */
3285         X16(D(SrcImmByte)),
3286         /* 0x80 - 0x87 */
3287         G(ByteOp | DstMem | SrcImm | ModRM | Group, group1),
3288         G(DstMem | SrcImm | ModRM | Group, group1),
3289         G(ByteOp | DstMem | SrcImm | ModRM | No64 | Group, group1),
3290         G(DstMem | SrcImmByte | ModRM | Group, group1),
3291         I2bv(DstMem | SrcReg | ModRM, em_test),
3292         I2bv(DstMem | SrcReg | ModRM | Lock, em_xchg),
3293         /* 0x88 - 0x8F */
3294         I2bv(DstMem | SrcReg | ModRM | Mov, em_mov),
3295         I2bv(DstReg | SrcMem | ModRM | Mov, em_mov),
3296         I(DstMem | SrcNone | ModRM | Mov, em_mov_rm_sreg),
3297         D(ModRM | SrcMem | NoAccess | DstReg),
3298         I(ImplicitOps | SrcMem16 | ModRM, em_mov_sreg_rm),
3299         G(0, group1A),
3300         /* 0x90 - 0x97 */
3301         DI(SrcAcc | DstReg, pause), X7(D(SrcAcc | DstReg)),
3302         /* 0x98 - 0x9F */
3303         D(DstAcc | SrcNone), I(ImplicitOps | SrcAcc, em_cwd),
3304         I(SrcImmFAddr | No64, em_call_far), N,
3305         II(ImplicitOps | Stack, em_pushf, pushf),
3306         II(ImplicitOps | Stack, em_popf, popf), N, N,
3307         /* 0xA0 - 0xA7 */
3308         I2bv(DstAcc | SrcMem | Mov | MemAbs, em_mov),
3309         I2bv(DstMem | SrcAcc | Mov | MemAbs, em_mov),
3310         I2bv(SrcSI | DstDI | Mov | String, em_mov),
3311         I2bv(SrcSI | DstDI | String, em_cmp),
3312         /* 0xA8 - 0xAF */
3313         I2bv(DstAcc | SrcImm, em_test),
3314         I2bv(SrcAcc | DstDI | Mov | String, em_mov),
3315         I2bv(SrcSI | DstAcc | Mov | String, em_mov),
3316         I2bv(SrcAcc | DstDI | String, em_cmp),
3317         /* 0xB0 - 0xB7 */
3318         X8(I(ByteOp | DstReg | SrcImm | Mov, em_mov)),
3319         /* 0xB8 - 0xBF */
3320         X8(I(DstReg | SrcImm | Mov, em_mov)),
3321         /* 0xC0 - 0xC7 */
3322         D2bv(DstMem | SrcImmByte | ModRM),
3323         I(ImplicitOps | Stack | SrcImmU16, em_ret_near_imm),
3324         I(ImplicitOps | Stack, em_ret),
3325         I(DstReg | SrcMemFAddr | ModRM | No64 | Src2ES, em_lseg),
3326         I(DstReg | SrcMemFAddr | ModRM | No64 | Src2DS, em_lseg),
3327         G(ByteOp, group11), G(0, group11),
3328         /* 0xC8 - 0xCF */
3329         N, N, N, I(ImplicitOps | Stack, em_ret_far),
3330         D(ImplicitOps), DI(SrcImmByte, intn),
3331         D(ImplicitOps | No64), II(ImplicitOps, em_iret, iret),
3332         /* 0xD0 - 0xD7 */
3333         D2bv(DstMem | SrcOne | ModRM), D2bv(DstMem | ModRM),
3334         N, N, N, N,
3335         /* 0xD8 - 0xDF */
3336         N, N, N, N, N, N, N, N,
3337         /* 0xE0 - 0xE7 */
3338         X3(I(SrcImmByte, em_loop)),
3339         I(SrcImmByte, em_jcxz),
3340         D2bvIP(SrcImmUByte | DstAcc, in,  check_perm_in),
3341         D2bvIP(SrcAcc | DstImmUByte, out, check_perm_out),
3342         /* 0xE8 - 0xEF */
3343         I(SrcImm | Stack, em_call), D(SrcImm | ImplicitOps),
3344         I(SrcImmFAddr | No64, em_jmp_far), D(SrcImmByte | ImplicitOps),
3345         D2bvIP(SrcDX | DstAcc, in,  check_perm_in),
3346         D2bvIP(SrcAcc | DstDX, out, check_perm_out),
3347         /* 0xF0 - 0xF7 */
3348         N, DI(ImplicitOps, icebp), N, N,
3349         DI(ImplicitOps | Priv, hlt), D(ImplicitOps),
3350         G(ByteOp, group3), G(0, group3),
3351         /* 0xF8 - 0xFF */
3352         D(ImplicitOps), D(ImplicitOps),
3353         I(ImplicitOps, em_cli), I(ImplicitOps, em_sti),
3354         D(ImplicitOps), D(ImplicitOps), G(0, group4), G(0, group5),
3355 };
3356
3357 static struct opcode twobyte_table[256] = {
3358         /* 0x00 - 0x0F */
3359         G(0, group6), GD(0, &group7), N, N,
3360         N, I(ImplicitOps | VendorSpecific, em_syscall),
3361         II(ImplicitOps | Priv, em_clts, clts), N,
3362         DI(ImplicitOps | Priv, invd), DI(ImplicitOps | Priv, wbinvd), N, N,
3363         N, D(ImplicitOps | ModRM), N, N,
3364         /* 0x10 - 0x1F */
3365         N, N, N, N, N, N, N, N, D(ImplicitOps | ModRM), N, N, N, N, N, N, N,
3366         /* 0x20 - 0x2F */
3367         DIP(ModRM | DstMem | Priv | Op3264, cr_read, check_cr_read),
3368         DIP(ModRM | DstMem | Priv | Op3264, dr_read, check_dr_read),
3369         DIP(ModRM | SrcMem | Priv | Op3264, cr_write, check_cr_write),
3370         DIP(ModRM | SrcMem | Priv | Op3264, dr_write, check_dr_write),
3371         N, N, N, N,
3372         N, N, N, N, N, N, N, N,
3373         /* 0x30 - 0x3F */
3374         DI(ImplicitOps | Priv, wrmsr),
3375         IIP(ImplicitOps, em_rdtsc, rdtsc, check_rdtsc),
3376         DI(ImplicitOps | Priv, rdmsr),
3377         DIP(ImplicitOps | Priv, rdpmc, check_rdpmc),
3378         I(ImplicitOps | VendorSpecific, em_sysenter),
3379         I(ImplicitOps | Priv | VendorSpecific, em_sysexit),
3380         N, N,
3381         N, N, N, N, N, N, N, N,
3382         /* 0x40 - 0x4F */
3383         X16(D(DstReg | SrcMem | ModRM | Mov)),
3384         /* 0x50 - 0x5F */
3385         N, N, N, N, N, N, N, N, N, N, N, N, N, N, N, N,
3386         /* 0x60 - 0x6F */
3387         N, N, N, N,
3388         N, N, N, N,
3389         N, N, N, N,
3390         N, N, N, GP(SrcMem | DstReg | ModRM | Mov, &pfx_0f_6f_0f_7f),
3391         /* 0x70 - 0x7F */
3392         N, N, N, N,
3393         N, N, N, N,
3394         N, N, N, N,
3395         N, N, N, GP(SrcReg | DstMem | ModRM | Mov, &pfx_0f_6f_0f_7f),
3396         /* 0x80 - 0x8F */
3397         X16(D(SrcImm)),
3398         /* 0x90 - 0x9F */
3399         X16(D(ByteOp | DstMem | SrcNone | ModRM| Mov)),
3400         /* 0xA0 - 0xA7 */
3401         I(Stack | Src2FS, em_push_sreg), I(Stack | Src2FS, em_pop_sreg),
3402         DI(ImplicitOps, cpuid), D(DstMem | SrcReg | ModRM | BitOp),
3403         D(DstMem | SrcReg | Src2ImmByte | ModRM),
3404         D(DstMem | SrcReg | Src2CL | ModRM), N, N,
3405         /* 0xA8 - 0xAF */
3406         I(Stack | Src2GS, em_push_sreg), I(Stack | Src2GS, em_pop_sreg),
3407         DI(ImplicitOps, rsm), D(DstMem | SrcReg | ModRM | BitOp | Lock),
3408         D(DstMem | SrcReg | Src2ImmByte | ModRM),
3409         D(DstMem | SrcReg | Src2CL | ModRM),
3410         D(ModRM), I(DstReg | SrcMem | ModRM, em_imul),
3411         /* 0xB0 - 0xB7 */
3412         D2bv(DstMem | SrcReg | ModRM | Lock),
3413         I(DstReg | SrcMemFAddr | ModRM | Src2SS, em_lseg),
3414         D(DstMem | SrcReg | ModRM | BitOp | Lock),
3415         I(DstReg | SrcMemFAddr | ModRM | Src2FS, em_lseg),
3416         I(DstReg | SrcMemFAddr | ModRM | Src2GS, em_lseg),
3417         D(ByteOp | DstReg | SrcMem | ModRM | Mov), D(DstReg | SrcMem16 | ModRM | Mov),
3418         /* 0xB8 - 0xBF */
3419         N, N,
3420         G(BitOp, group8), D(DstMem | SrcReg | ModRM | BitOp | Lock),
3421         D(DstReg | SrcMem | ModRM), D(DstReg | SrcMem | ModRM),
3422         D(ByteOp | DstReg | SrcMem | ModRM | Mov), D(DstReg | SrcMem16 | ModRM | Mov),
3423         /* 0xC0 - 0xCF */
3424         D2bv(DstMem | SrcReg | ModRM | Lock),
3425         N, D(DstMem | SrcReg | ModRM | Mov),
3426         N, N, N, GD(0, &group9),
3427         N, N, N, N, N, N, N, N,
3428         /* 0xD0 - 0xDF */
3429         N, N, N, N, N, N, N, N, N, N, N, N, N, N, N, N,
3430         /* 0xE0 - 0xEF */
3431         N, N, N, N, N, N, N, N, N, N, N, N, N, N, N, N,
3432         /* 0xF0 - 0xFF */
3433         N, N, N, N, N, N, N, N, N, N, N, N, N, N, N, N
3434 };
3435
3436 #undef D
3437 #undef N
3438 #undef G
3439 #undef GD
3440 #undef I
3441 #undef GP
3442 #undef EXT
3443
3444 #undef D2bv
3445 #undef D2bvIP
3446 #undef I2bv
3447 #undef I6ALU
3448
3449 static unsigned imm_size(struct x86_emulate_ctxt *ctxt)
3450 {
3451         unsigned size;
3452
3453         size = (ctxt->d & ByteOp) ? 1 : ctxt->op_bytes;
3454         if (size == 8)
3455                 size = 4;
3456         return size;
3457 }
3458
3459 static int decode_imm(struct x86_emulate_ctxt *ctxt, struct operand *op,
3460                       unsigned size, bool sign_extension)
3461 {
3462         int rc = X86EMUL_CONTINUE;
3463
3464         op->type = OP_IMM;
3465         op->bytes = size;
3466         op->addr.mem.ea = ctxt->_eip;
3467         /* NB. Immediates are sign-extended as necessary. */
3468         switch (op->bytes) {
3469         case 1:
3470                 op->val = insn_fetch(s8, ctxt);
3471                 break;
3472         case 2:
3473                 op->val = insn_fetch(s16, ctxt);
3474                 break;
3475         case 4:
3476                 op->val = insn_fetch(s32, ctxt);
3477                 break;
3478         }
3479         if (!sign_extension) {
3480                 switch (op->bytes) {
3481                 case 1:
3482                         op->val &= 0xff;
3483                         break;
3484                 case 2:
3485                         op->val &= 0xffff;
3486                         break;
3487                 case 4:
3488                         op->val &= 0xffffffff;
3489                         break;
3490                 }
3491         }
3492 done:
3493         return rc;
3494 }
3495
3496 static int decode_operand(struct x86_emulate_ctxt *ctxt, struct operand *op,
3497                           unsigned d)
3498 {
3499         int rc = X86EMUL_CONTINUE;
3500
3501         switch (d) {
3502         case OpReg:
3503                 decode_register_operand(ctxt, op,
3504                          op == &ctxt->dst &&
3505                          ctxt->twobyte && (ctxt->b == 0xb6 || ctxt->b == 0xb7));
3506                 break;
3507         case OpImmUByte:
3508                 rc = decode_imm(ctxt, op, 1, false);
3509                 break;
3510         case OpMem:
3511                 ctxt->memop.bytes = (ctxt->d & ByteOp) ? 1 : ctxt->op_bytes;
3512         mem_common:
3513                 *op = ctxt->memop;
3514                 ctxt->memopp = op;
3515                 if ((ctxt->d & BitOp) && op == &ctxt->dst)
3516                         fetch_bit_operand(ctxt);
3517                 op->orig_val = op->val;
3518                 break;
3519         case OpMem64:
3520                 ctxt->memop.bytes = 8;
3521                 goto mem_common;
3522         case OpAcc:
3523                 op->type = OP_REG;
3524                 op->bytes = (ctxt->d & ByteOp) ? 1 : ctxt->op_bytes;
3525                 op->addr.reg = &ctxt->regs[VCPU_REGS_RAX];
3526                 fetch_register_operand(op);
3527                 op->orig_val = op->val;
3528                 break;
3529         case OpDI:
3530                 op->type = OP_MEM;
3531                 op->bytes = (ctxt->d & ByteOp) ? 1 : ctxt->op_bytes;
3532                 op->addr.mem.ea =
3533                         register_address(ctxt, ctxt->regs[VCPU_REGS_RDI]);
3534                 op->addr.mem.seg = VCPU_SREG_ES;
3535                 op->val = 0;
3536                 break;
3537         case OpDX:
3538                 op->type = OP_REG;
3539                 op->bytes = 2;
3540                 op->addr.reg = &ctxt->regs[VCPU_REGS_RDX];
3541                 fetch_register_operand(op);
3542                 break;
3543         case OpCL:
3544                 op->bytes = 1;
3545                 op->val = ctxt->regs[VCPU_REGS_RCX] & 0xff;
3546                 break;
3547         case OpImmByte:
3548                 rc = decode_imm(ctxt, op, 1, true);
3549                 break;
3550         case OpOne:
3551                 op->bytes = 1;
3552                 op->val = 1;
3553                 break;
3554         case OpImm:
3555                 rc = decode_imm(ctxt, op, imm_size(ctxt), true);
3556                 break;
3557         case OpMem16:
3558                 ctxt->memop.bytes = 2;
3559                 goto mem_common;
3560         case OpMem32:
3561                 ctxt->memop.bytes = 4;
3562                 goto mem_common;
3563         case OpImmU16:
3564                 rc = decode_imm(ctxt, op, 2, false);
3565                 break;
3566         case OpImmU:
3567                 rc = decode_imm(ctxt, op, imm_size(ctxt), false);
3568                 break;
3569         case OpSI:
3570                 op->type = OP_MEM;
3571                 op->bytes = (ctxt->d & ByteOp) ? 1 : ctxt->op_bytes;
3572                 op->addr.mem.ea =
3573                         register_address(ctxt, ctxt->regs[VCPU_REGS_RSI]);
3574                 op->addr.mem.seg = seg_override(ctxt);
3575                 op->val = 0;
3576                 break;
3577         case OpImmFAddr:
3578                 op->type = OP_IMM;
3579                 op->addr.mem.ea = ctxt->_eip;
3580                 op->bytes = ctxt->op_bytes + 2;
3581                 insn_fetch_arr(op->valptr, op->bytes, ctxt);
3582                 break;
3583         case OpMemFAddr:
3584                 ctxt->memop.bytes = ctxt->op_bytes + 2;
3585                 goto mem_common;
3586         case OpES:
3587                 op->val = VCPU_SREG_ES;
3588                 break;
3589         case OpCS:
3590                 op->val = VCPU_SREG_CS;
3591                 break;
3592         case OpSS:
3593                 op->val = VCPU_SREG_SS;
3594                 break;
3595         case OpDS:
3596                 op->val = VCPU_SREG_DS;
3597                 break;
3598         case OpFS:
3599                 op->val = VCPU_SREG_FS;
3600                 break;
3601         case OpGS:
3602                 op->val = VCPU_SREG_GS;
3603                 break;
3604         case OpImplicit:
3605                 /* Special instructions do their own operand decoding. */
3606         default:
3607                 op->type = OP_NONE; /* Disable writeback. */
3608                 break;
3609         }
3610
3611 done:
3612         return rc;
3613 }
3614
3615 int x86_decode_insn(struct x86_emulate_ctxt *ctxt, void *insn, int insn_len)
3616 {
3617         int rc = X86EMUL_CONTINUE;
3618         int mode = ctxt->mode;
3619         int def_op_bytes, def_ad_bytes, goffset, simd_prefix;
3620         bool op_prefix = false;
3621         struct opcode opcode;
3622
3623         ctxt->memop.type = OP_NONE;
3624         ctxt->memopp = NULL;
3625         ctxt->_eip = ctxt->eip;
3626         ctxt->fetch.start = ctxt->_eip;
3627         ctxt->fetch.end = ctxt->fetch.start + insn_len;
3628         if (insn_len > 0)
3629                 memcpy(ctxt->fetch.data, insn, insn_len);
3630
3631         switch (mode) {
3632         case X86EMUL_MODE_REAL:
3633         case X86EMUL_MODE_VM86:
3634         case X86EMUL_MODE_PROT16:
3635                 def_op_bytes = def_ad_bytes = 2;
3636                 break;
3637         case X86EMUL_MODE_PROT32:
3638                 def_op_bytes = def_ad_bytes = 4;
3639                 break;
3640 #ifdef CONFIG_X86_64
3641         case X86EMUL_MODE_PROT64:
3642                 def_op_bytes = 4;
3643                 def_ad_bytes = 8;
3644                 break;
3645 #endif
3646         default:
3647                 return EMULATION_FAILED;
3648         }
3649
3650         ctxt->op_bytes = def_op_bytes;
3651         ctxt->ad_bytes = def_ad_bytes;
3652
3653         /* Legacy prefixes. */
3654         for (;;) {
3655                 switch (ctxt->b = insn_fetch(u8, ctxt)) {
3656                 case 0x66:      /* operand-size override */
3657                         op_prefix = true;
3658                         /* switch between 2/4 bytes */
3659                         ctxt->op_bytes = def_op_bytes ^ 6;
3660                         break;
3661                 case 0x67:      /* address-size override */
3662                         if (mode == X86EMUL_MODE_PROT64)
3663                                 /* switch between 4/8 bytes */
3664                                 ctxt->ad_bytes = def_ad_bytes ^ 12;
3665                         else
3666                                 /* switch between 2/4 bytes */
3667                                 ctxt->ad_bytes = def_ad_bytes ^ 6;
3668                         break;
3669                 case 0x26:      /* ES override */
3670                 case 0x2e:      /* CS override */
3671                 case 0x36:      /* SS override */
3672                 case 0x3e:      /* DS override */
3673                         set_seg_override(ctxt, (ctxt->b >> 3) & 3);
3674                         break;
3675                 case 0x64:      /* FS override */
3676                 case 0x65:      /* GS override */
3677                         set_seg_override(ctxt, ctxt->b & 7);
3678                         break;
3679                 case 0x40 ... 0x4f: /* REX */
3680                         if (mode != X86EMUL_MODE_PROT64)
3681                                 goto done_prefixes;
3682                         ctxt->rex_prefix = ctxt->b;
3683                         continue;
3684                 case 0xf0:      /* LOCK */
3685                         ctxt->lock_prefix = 1;
3686                         break;
3687                 case 0xf2:      /* REPNE/REPNZ */
3688                 case 0xf3:      /* REP/REPE/REPZ */
3689                         ctxt->rep_prefix = ctxt->b;
3690                         break;
3691                 default:
3692                         goto done_prefixes;
3693                 }
3694
3695                 /* Any legacy prefix after a REX prefix nullifies its effect. */
3696
3697                 ctxt->rex_prefix = 0;
3698         }
3699
3700 done_prefixes:
3701
3702         /* REX prefix. */
3703         if (ctxt->rex_prefix & 8)
3704                 ctxt->op_bytes = 8;     /* REX.W */
3705
3706         /* Opcode byte(s). */
3707         opcode = opcode_table[ctxt->b];
3708         /* Two-byte opcode? */
3709         if (ctxt->b == 0x0f) {
3710                 ctxt->twobyte = 1;
3711                 ctxt->b = insn_fetch(u8, ctxt);
3712                 opcode = twobyte_table[ctxt->b];
3713         }
3714         ctxt->d = opcode.flags;
3715
3716         while (ctxt->d & GroupMask) {
3717                 switch (ctxt->d & GroupMask) {
3718                 case Group:
3719                         ctxt->modrm = insn_fetch(u8, ctxt);
3720                         --ctxt->_eip;
3721                         goffset = (ctxt->modrm >> 3) & 7;
3722                         opcode = opcode.u.group[goffset];
3723                         break;
3724                 case GroupDual:
3725                         ctxt->modrm = insn_fetch(u8, ctxt);
3726                         --ctxt->_eip;
3727                         goffset = (ctxt->modrm >> 3) & 7;
3728                         if ((ctxt->modrm >> 6) == 3)
3729                                 opcode = opcode.u.gdual->mod3[goffset];
3730                         else
3731                                 opcode = opcode.u.gdual->mod012[goffset];
3732                         break;
3733                 case RMExt:
3734                         goffset = ctxt->modrm & 7;
3735                         opcode = opcode.u.group[goffset];
3736                         break;
3737                 case Prefix:
3738                         if (ctxt->rep_prefix && op_prefix)
3739                                 return EMULATION_FAILED;
3740                         simd_prefix = op_prefix ? 0x66 : ctxt->rep_prefix;
3741                         switch (simd_prefix) {
3742                         case 0x00: opcode = opcode.u.gprefix->pfx_no; break;
3743                         case 0x66: opcode = opcode.u.gprefix->pfx_66; break;
3744                         case 0xf2: opcode = opcode.u.gprefix->pfx_f2; break;
3745                         case 0xf3: opcode = opcode.u.gprefix->pfx_f3; break;
3746                         }
3747                         break;
3748                 default:
3749                         return EMULATION_FAILED;
3750                 }
3751
3752                 ctxt->d &= ~(u64)GroupMask;
3753                 ctxt->d |= opcode.flags;
3754         }
3755
3756         ctxt->execute = opcode.u.execute;
3757         ctxt->check_perm = opcode.check_perm;
3758         ctxt->intercept = opcode.intercept;
3759
3760         /* Unrecognised? */
3761         if (ctxt->d == 0 || (ctxt->d & Undefined))
3762                 return EMULATION_FAILED;
3763
3764         if (!(ctxt->d & VendorSpecific) && ctxt->only_vendor_specific_insn)
3765                 return EMULATION_FAILED;
3766
3767         if (mode == X86EMUL_MODE_PROT64 && (ctxt->d & Stack))
3768                 ctxt->op_bytes = 8;
3769
3770         if (ctxt->d & Op3264) {
3771                 if (mode == X86EMUL_MODE_PROT64)
3772                         ctxt->op_bytes = 8;
3773                 else
3774                         ctxt->op_bytes = 4;
3775         }
3776
3777         if (ctxt->d & Sse)
3778                 ctxt->op_bytes = 16;
3779
3780         /* ModRM and SIB bytes. */
3781         if (ctxt->d & ModRM) {
3782                 rc = decode_modrm(ctxt, &ctxt->memop);
3783                 if (!ctxt->has_seg_override)
3784                         set_seg_override(ctxt, ctxt->modrm_seg);
3785         } else if (ctxt->d & MemAbs)
3786                 rc = decode_abs(ctxt, &ctxt->memop);
3787         if (rc != X86EMUL_CONTINUE)
3788                 goto done;
3789
3790         if (!ctxt->has_seg_override)
3791                 set_seg_override(ctxt, VCPU_SREG_DS);
3792
3793         ctxt->memop.addr.mem.seg = seg_override(ctxt);
3794
3795         if (ctxt->memop.type == OP_MEM && ctxt->ad_bytes != 8)
3796                 ctxt->memop.addr.mem.ea = (u32)ctxt->memop.addr.mem.ea;
3797
3798         /*
3799          * Decode and fetch the source operand: register, memory
3800          * or immediate.
3801          */
3802         rc = decode_operand(ctxt, &ctxt->src, (ctxt->d >> SrcShift) & OpMask);
3803         if (rc != X86EMUL_CONTINUE)
3804                 goto done;
3805
3806         /*
3807          * Decode and fetch the second source operand: register, memory
3808          * or immediate.
3809          */
3810         rc = decode_operand(ctxt, &ctxt->src2, (ctxt->d >> Src2Shift) & OpMask);
3811         if (rc != X86EMUL_CONTINUE)
3812                 goto done;
3813
3814         /* Decode and fetch the destination operand: register or memory. */
3815         rc = decode_operand(ctxt, &ctxt->dst, (ctxt->d >> DstShift) & OpMask);
3816
3817 done:
3818         if (ctxt->memopp && ctxt->memopp->type == OP_MEM && ctxt->rip_relative)
3819                 ctxt->memopp->addr.mem.ea += ctxt->_eip;
3820
3821         return (rc != X86EMUL_CONTINUE) ? EMULATION_FAILED : EMULATION_OK;
3822 }
3823
3824 static bool string_insn_completed(struct x86_emulate_ctxt *ctxt)
3825 {
3826         /* The second termination condition only applies for REPE
3827          * and REPNE. Test if the repeat string operation prefix is
3828          * REPE/REPZ or REPNE/REPNZ and if it's the case it tests the
3829          * corresponding termination condition according to:
3830          *      - if REPE/REPZ and ZF = 0 then done
3831          *      - if REPNE/REPNZ and ZF = 1 then done
3832          */
3833         if (((ctxt->b == 0xa6) || (ctxt->b == 0xa7) ||
3834              (ctxt->b == 0xae) || (ctxt->b == 0xaf))
3835             && (((ctxt->rep_prefix == REPE_PREFIX) &&
3836                  ((ctxt->eflags & EFLG_ZF) == 0))
3837                 || ((ctxt->rep_prefix == REPNE_PREFIX) &&
3838                     ((ctxt->eflags & EFLG_ZF) == EFLG_ZF))))
3839                 return true;
3840
3841         return false;
3842 }
3843
3844 int x86_emulate_insn(struct x86_emulate_ctxt *ctxt)
3845 {
3846         struct x86_emulate_ops *ops = ctxt->ops;
3847         u64 msr_data;
3848         int rc = X86EMUL_CONTINUE;
3849         int saved_dst_type = ctxt->dst.type;
3850
3851         ctxt->mem_read.pos = 0;
3852
3853         if (ctxt->mode == X86EMUL_MODE_PROT64 && (ctxt->d & No64)) {
3854                 rc = emulate_ud(ctxt);
3855                 goto done;
3856         }
3857
3858         /* LOCK prefix is allowed only with some instructions */
3859         if (ctxt->lock_prefix && (!(ctxt->d & Lock) || ctxt->dst.type != OP_MEM)) {
3860                 rc = emulate_ud(ctxt);
3861                 goto done;
3862         }
3863
3864         if ((ctxt->d & SrcMask) == SrcMemFAddr && ctxt->src.type != OP_MEM) {
3865                 rc = emulate_ud(ctxt);
3866                 goto done;
3867         }
3868
3869         if ((ctxt->d & Sse)
3870             && ((ops->get_cr(ctxt, 0) & X86_CR0_EM)
3871                 || !(ops->get_cr(ctxt, 4) & X86_CR4_OSFXSR))) {
3872                 rc = emulate_ud(ctxt);
3873                 goto done;
3874         }
3875
3876         if ((ctxt->d & Sse) && (ops->get_cr(ctxt, 0) & X86_CR0_TS)) {
3877                 rc = emulate_nm(ctxt);
3878                 goto done;
3879         }
3880
3881         if (unlikely(ctxt->guest_mode) && ctxt->intercept) {
3882                 rc = emulator_check_intercept(ctxt, ctxt->intercept,
3883                                               X86_ICPT_PRE_EXCEPT);
3884                 if (rc != X86EMUL_CONTINUE)
3885                         goto done;
3886         }
3887
3888         /* Privileged instruction can be executed only in CPL=0 */
3889         if ((ctxt->d & Priv) && ops->cpl(ctxt)) {
3890                 rc = emulate_gp(ctxt, 0);
3891                 goto done;
3892         }
3893
3894         /* Instruction can only be executed in protected mode */
3895         if ((ctxt->d & Prot) && !(ctxt->mode & X86EMUL_MODE_PROT)) {
3896                 rc = emulate_ud(ctxt);
3897                 goto done;
3898         }
3899
3900         /* Do instruction specific permission checks */
3901         if (ctxt->check_perm) {
3902                 rc = ctxt->check_perm(ctxt);
3903                 if (rc != X86EMUL_CONTINUE)
3904                         goto done;
3905         }
3906
3907         if (unlikely(ctxt->guest_mode) && ctxt->intercept) {
3908                 rc = emulator_check_intercept(ctxt, ctxt->intercept,
3909                                               X86_ICPT_POST_EXCEPT);
3910                 if (rc != X86EMUL_CONTINUE)
3911                         goto done;
3912         }
3913
3914         if (ctxt->rep_prefix && (ctxt->d & String)) {
3915                 /* All REP prefixes have the same first termination condition */
3916                 if (address_mask(ctxt, ctxt->regs[VCPU_REGS_RCX]) == 0) {
3917                         ctxt->eip = ctxt->_eip;
3918                         goto done;
3919                 }
3920         }
3921
3922         if ((ctxt->src.type == OP_MEM) && !(ctxt->d & NoAccess)) {
3923                 rc = segmented_read(ctxt, ctxt->src.addr.mem,
3924                                     ctxt->src.valptr, ctxt->src.bytes);
3925                 if (rc != X86EMUL_CONTINUE)
3926                         goto done;
3927                 ctxt->src.orig_val64 = ctxt->src.val64;
3928         }
3929
3930         if (ctxt->src2.type == OP_MEM) {
3931                 rc = segmented_read(ctxt, ctxt->src2.addr.mem,
3932                                     &ctxt->src2.val, ctxt->src2.bytes);
3933                 if (rc != X86EMUL_CONTINUE)
3934                         goto done;
3935         }
3936
3937         if ((ctxt->d & DstMask) == ImplicitOps)
3938                 goto special_insn;
3939
3940
3941         if ((ctxt->dst.type == OP_MEM) && !(ctxt->d & Mov)) {
3942                 /* optimisation - avoid slow emulated read if Mov */
3943                 rc = segmented_read(ctxt, ctxt->dst.addr.mem,
3944                                    &ctxt->dst.val, ctxt->dst.bytes);
3945                 if (rc != X86EMUL_CONTINUE)
3946                         goto done;
3947         }
3948         ctxt->dst.orig_val = ctxt->dst.val;
3949
3950 special_insn:
3951
3952         if (unlikely(ctxt->guest_mode) && ctxt->intercept) {
3953                 rc = emulator_check_intercept(ctxt, ctxt->intercept,
3954                                               X86_ICPT_POST_MEMACCESS);
3955                 if (rc != X86EMUL_CONTINUE)
3956                         goto done;
3957         }
3958
3959         if (ctxt->execute) {
3960                 rc = ctxt->execute(ctxt);
3961                 if (rc != X86EMUL_CONTINUE)
3962                         goto done;
3963                 goto writeback;
3964         }
3965
3966         if (ctxt->twobyte)
3967                 goto twobyte_insn;
3968
3969         switch (ctxt->b) {
3970         case 0x40 ... 0x47: /* inc r16/r32 */
3971                 emulate_1op(ctxt, "inc");
3972                 break;
3973         case 0x48 ... 0x4f: /* dec r16/r32 */
3974                 emulate_1op(ctxt, "dec");
3975                 break;
3976         case 0x63:              /* movsxd */
3977                 if (ctxt->mode != X86EMUL_MODE_PROT64)
3978                         goto cannot_emulate;
3979                 ctxt->dst.val = (s32) ctxt->src.val;
3980                 break;
3981         case 0x6c:              /* insb */
3982         case 0x6d:              /* insw/insd */
3983                 ctxt->src.val = ctxt->regs[VCPU_REGS_RDX];
3984                 goto do_io_in;
3985         case 0x6e:              /* outsb */
3986         case 0x6f:              /* outsw/outsd */
3987                 ctxt->dst.val = ctxt->regs[VCPU_REGS_RDX];
3988                 goto do_io_out;
3989                 break;
3990         case 0x70 ... 0x7f: /* jcc (short) */
3991                 if (test_cc(ctxt->b, ctxt->eflags))
3992                         rc = jmp_rel(ctxt, ctxt->src.val);
3993                 break;
3994         case 0x8d: /* lea r16/r32, m */
3995                 ctxt->dst.val = ctxt->src.addr.mem.ea;
3996                 break;
3997         case 0x8f:              /* pop (sole member of Grp1a) */
3998                 rc = em_grp1a(ctxt);
3999                 break;
4000         case 0x90 ... 0x97: /* nop / xchg reg, rax */
4001                 if (ctxt->dst.addr.reg == &ctxt->regs[VCPU_REGS_RAX])
4002                         break;
4003                 rc = em_xchg(ctxt);
4004                 break;
4005         case 0x98: /* cbw/cwde/cdqe */
4006                 switch (ctxt->op_bytes) {
4007                 case 2: ctxt->dst.val = (s8)ctxt->dst.val; break;
4008                 case 4: ctxt->dst.val = (s16)ctxt->dst.val; break;
4009                 case 8: ctxt->dst.val = (s32)ctxt->dst.val; break;
4010                 }
4011                 break;
4012         case 0xc0 ... 0xc1:
4013                 rc = em_grp2(ctxt);
4014                 break;
4015         case 0xcc:              /* int3 */
4016                 rc = emulate_int(ctxt, 3);
4017                 break;
4018         case 0xcd:              /* int n */
4019                 rc = emulate_int(ctxt, ctxt->src.val);
4020                 break;
4021         case 0xce:              /* into */
4022                 if (ctxt->eflags & EFLG_OF)
4023                         rc = emulate_int(ctxt, 4);
4024                 break;
4025         case 0xd0 ... 0xd1:     /* Grp2 */
4026                 rc = em_grp2(ctxt);
4027                 break;
4028         case 0xd2 ... 0xd3:     /* Grp2 */
4029                 ctxt->src.val = ctxt->regs[VCPU_REGS_RCX];
4030                 rc = em_grp2(ctxt);
4031                 break;
4032         case 0xe4:      /* inb */
4033         case 0xe5:      /* in */
4034                 goto do_io_in;
4035         case 0xe6: /* outb */
4036         case 0xe7: /* out */
4037                 goto do_io_out;
4038         case 0xe9: /* jmp rel */
4039         case 0xeb: /* jmp rel short */
4040                 rc = jmp_rel(ctxt, ctxt->src.val);
4041                 ctxt->dst.type = OP_NONE; /* Disable writeback. */
4042                 break;
4043         case 0xec: /* in al,dx */
4044         case 0xed: /* in (e/r)ax,dx */
4045         do_io_in:
4046                 if (!pio_in_emulated(ctxt, ctxt->dst.bytes, ctxt->src.val,
4047                                      &ctxt->dst.val))
4048                         goto done; /* IO is needed */
4049                 break;
4050         case 0xee: /* out dx,al */
4051         case 0xef: /* out dx,(e/r)ax */
4052         do_io_out:
4053                 ops->pio_out_emulated(ctxt, ctxt->src.bytes, ctxt->dst.val,
4054                                       &ctxt->src.val, 1);
4055                 ctxt->dst.type = OP_NONE;       /* Disable writeback. */
4056                 break;
4057         case 0xf4:              /* hlt */
4058                 ctxt->ops->halt(ctxt);
4059                 break;
4060         case 0xf5:      /* cmc */
4061                 /* complement carry flag from eflags reg */
4062                 ctxt->eflags ^= EFLG_CF;
4063                 break;
4064         case 0xf8: /* clc */
4065                 ctxt->eflags &= ~EFLG_CF;
4066                 break;
4067         case 0xf9: /* stc */
4068                 ctxt->eflags |= EFLG_CF;
4069                 break;
4070         case 0xfc: /* cld */
4071                 ctxt->eflags &= ~EFLG_DF;
4072                 break;
4073         case 0xfd: /* std */
4074                 ctxt->eflags |= EFLG_DF;
4075                 break;
4076         case 0xfe: /* Grp4 */
4077                 rc = em_grp45(ctxt);
4078                 break;
4079         case 0xff: /* Grp5 */
4080                 rc = em_grp45(ctxt);
4081                 break;
4082         default:
4083                 goto cannot_emulate;
4084         }
4085
4086         if (rc != X86EMUL_CONTINUE)
4087                 goto done;
4088
4089 writeback:
4090         rc = writeback(ctxt);
4091         if (rc != X86EMUL_CONTINUE)
4092                 goto done;
4093
4094         /*
4095          * restore dst type in case the decoding will be reused
4096          * (happens for string instruction )
4097          */
4098         ctxt->dst.type = saved_dst_type;
4099
4100         if ((ctxt->d & SrcMask) == SrcSI)
4101                 string_addr_inc(ctxt, seg_override(ctxt),
4102                                 VCPU_REGS_RSI, &ctxt->src);
4103
4104         if ((ctxt->d & DstMask) == DstDI)
4105                 string_addr_inc(ctxt, VCPU_SREG_ES, VCPU_REGS_RDI,
4106                                 &ctxt->dst);
4107
4108         if (ctxt->rep_prefix && (ctxt->d & String)) {
4109                 struct read_cache *r = &ctxt->io_read;
4110                 register_address_increment(ctxt, &ctxt->regs[VCPU_REGS_RCX], -1);
4111
4112                 if (!string_insn_completed(ctxt)) {
4113                         /*
4114                          * Re-enter guest when pio read ahead buffer is empty
4115                          * or, if it is not used, after each 1024 iteration.
4116                          */
4117                         if ((r->end != 0 || ctxt->regs[VCPU_REGS_RCX] & 0x3ff) &&
4118                             (r->end == 0 || r->end != r->pos)) {
4119                                 /*
4120                                  * Reset read cache. Usually happens before
4121                                  * decode, but since instruction is restarted
4122                                  * we have to do it here.
4123                                  */
4124                                 ctxt->mem_read.end = 0;
4125                                 return EMULATION_RESTART;
4126                         }
4127                         goto done; /* skip rip writeback */
4128                 }
4129         }
4130
4131         ctxt->eip = ctxt->_eip;
4132
4133 done:
4134         if (rc == X86EMUL_PROPAGATE_FAULT)
4135                 ctxt->have_exception = true;
4136         if (rc == X86EMUL_INTERCEPTED)
4137                 return EMULATION_INTERCEPTED;
4138
4139         return (rc == X86EMUL_UNHANDLEABLE) ? EMULATION_FAILED : EMULATION_OK;
4140
4141 twobyte_insn:
4142         switch (ctxt->b) {
4143         case 0x09:              /* wbinvd */
4144                 (ctxt->ops->wbinvd)(ctxt);
4145                 break;
4146         case 0x08:              /* invd */
4147         case 0x0d:              /* GrpP (prefetch) */
4148         case 0x18:              /* Grp16 (prefetch/nop) */
4149                 break;
4150         case 0x20: /* mov cr, reg */
4151                 ctxt->dst.val = ops->get_cr(ctxt, ctxt->modrm_reg);
4152                 break;
4153         case 0x21: /* mov from dr to reg */
4154                 ops->get_dr(ctxt, ctxt->modrm_reg, &ctxt->dst.val);
4155                 break;
4156         case 0x22: /* mov reg, cr */
4157                 if (ops->set_cr(ctxt, ctxt->modrm_reg, ctxt->src.val)) {
4158                         emulate_gp(ctxt, 0);
4159                         rc = X86EMUL_PROPAGATE_FAULT;
4160                         goto done;
4161                 }
4162                 ctxt->dst.type = OP_NONE;
4163                 break;
4164         case 0x23: /* mov from reg to dr */
4165                 if (ops->set_dr(ctxt, ctxt->modrm_reg, ctxt->src.val &
4166                                 ((ctxt->mode == X86EMUL_MODE_PROT64) ?
4167                                  ~0ULL : ~0U)) < 0) {
4168                         /* #UD condition is already handled by the code above */
4169                         emulate_gp(ctxt, 0);
4170                         rc = X86EMUL_PROPAGATE_FAULT;
4171                         goto done;
4172                 }
4173
4174                 ctxt->dst.type = OP_NONE;       /* no writeback */
4175                 break;
4176         case 0x30:
4177                 /* wrmsr */
4178                 msr_data = (u32)ctxt->regs[VCPU_REGS_RAX]
4179                         | ((u64)ctxt->regs[VCPU_REGS_RDX] << 32);
4180                 if (ops->set_msr(ctxt, ctxt->regs[VCPU_REGS_RCX], msr_data)) {
4181                         emulate_gp(ctxt, 0);
4182                         rc = X86EMUL_PROPAGATE_FAULT;
4183                         goto done;
4184                 }
4185                 rc = X86EMUL_CONTINUE;
4186                 break;
4187         case 0x32:
4188                 /* rdmsr */
4189                 if (ops->get_msr(ctxt, ctxt->regs[VCPU_REGS_RCX], &msr_data)) {
4190                         emulate_gp(ctxt, 0);
4191                         rc = X86EMUL_PROPAGATE_FAULT;
4192                         goto done;
4193                 } else {
4194                         ctxt->regs[VCPU_REGS_RAX] = (u32)msr_data;
4195                         ctxt->regs[VCPU_REGS_RDX] = msr_data >> 32;
4196                 }
4197                 rc = X86EMUL_CONTINUE;
4198                 break;
4199         case 0x40 ... 0x4f:     /* cmov */
4200                 ctxt->dst.val = ctxt->dst.orig_val = ctxt->src.val;
4201                 if (!test_cc(ctxt->b, ctxt->eflags))
4202                         ctxt->dst.type = OP_NONE; /* no writeback */
4203                 break;
4204         case 0x80 ... 0x8f: /* jnz rel, etc*/
4205                 if (test_cc(ctxt->b, ctxt->eflags))
4206                         rc = jmp_rel(ctxt, ctxt->src.val);
4207                 break;
4208         case 0x90 ... 0x9f:     /* setcc r/m8 */
4209                 ctxt->dst.val = test_cc(ctxt->b, ctxt->eflags);
4210                 break;
4211         case 0xa3:
4212               bt:               /* bt */
4213                 ctxt->dst.type = OP_NONE;
4214                 /* only subword offset */
4215                 ctxt->src.val &= (ctxt->dst.bytes << 3) - 1;
4216                 emulate_2op_SrcV_nobyte(ctxt, "bt");
4217                 break;
4218         case 0xa4: /* shld imm8, r, r/m */
4219         case 0xa5: /* shld cl, r, r/m */
4220                 emulate_2op_cl(ctxt, "shld");
4221                 break;
4222         case 0xab:
4223               bts:              /* bts */
4224                 emulate_2op_SrcV_nobyte(ctxt, "bts");
4225                 break;
4226         case 0xac: /* shrd imm8, r, r/m */
4227         case 0xad: /* shrd cl, r, r/m */
4228                 emulate_2op_cl(ctxt, "shrd");
4229                 break;
4230         case 0xae:              /* clflush */
4231                 break;
4232         case 0xb0 ... 0xb1:     /* cmpxchg */
4233                 /*
4234                  * Save real source value, then compare EAX against
4235                  * destination.
4236                  */
4237                 ctxt->src.orig_val = ctxt->src.val;
4238                 ctxt->src.val = ctxt->regs[VCPU_REGS_RAX];
4239                 emulate_2op_SrcV(ctxt, "cmp");
4240                 if (ctxt->eflags & EFLG_ZF) {
4241                         /* Success: write back to memory. */
4242                         ctxt->dst.val = ctxt->src.orig_val;
4243                 } else {
4244                         /* Failure: write the value we saw to EAX. */
4245                         ctxt->dst.type = OP_REG;
4246                         ctxt->dst.addr.reg = (unsigned long *)&ctxt->regs[VCPU_REGS_RAX];
4247                 }
4248                 break;
4249         case 0xb3:
4250               btr:              /* btr */
4251                 emulate_2op_SrcV_nobyte(ctxt, "btr");
4252                 break;
4253         case 0xb6 ... 0xb7:     /* movzx */
4254                 ctxt->dst.bytes = ctxt->op_bytes;
4255                 ctxt->dst.val = (ctxt->d & ByteOp) ? (u8) ctxt->src.val
4256                                                        : (u16) ctxt->src.val;
4257                 break;
4258         case 0xba:              /* Grp8 */
4259                 switch (ctxt->modrm_reg & 3) {
4260                 case 0:
4261                         goto bt;
4262                 case 1:
4263                         goto bts;
4264                 case 2:
4265                         goto btr;
4266                 case 3:
4267                         goto btc;
4268                 }
4269                 break;
4270         case 0xbb:
4271               btc:              /* btc */
4272                 emulate_2op_SrcV_nobyte(ctxt, "btc");
4273                 break;
4274         case 0xbc: {            /* bsf */
4275                 u8 zf;
4276                 __asm__ ("bsf %2, %0; setz %1"
4277                          : "=r"(ctxt->dst.val), "=q"(zf)
4278                          : "r"(ctxt->src.val));
4279                 ctxt->eflags &= ~X86_EFLAGS_ZF;
4280                 if (zf) {
4281                         ctxt->eflags |= X86_EFLAGS_ZF;
4282                         ctxt->dst.type = OP_NONE;       /* Disable writeback. */
4283                 }
4284                 break;
4285         }
4286         case 0xbd: {            /* bsr */
4287                 u8 zf;
4288                 __asm__ ("bsr %2, %0; setz %1"
4289                          : "=r"(ctxt->dst.val), "=q"(zf)
4290                          : "r"(ctxt->src.val));
4291                 ctxt->eflags &= ~X86_EFLAGS_ZF;
4292                 if (zf) {
4293                         ctxt->eflags |= X86_EFLAGS_ZF;
4294                         ctxt->dst.type = OP_NONE;       /* Disable writeback. */
4295                 }
4296                 break;
4297         }
4298         case 0xbe ... 0xbf:     /* movsx */
4299                 ctxt->dst.bytes = ctxt->op_bytes;
4300                 ctxt->dst.val = (ctxt->d & ByteOp) ? (s8) ctxt->src.val :
4301                                                         (s16) ctxt->src.val;
4302                 break;
4303         case 0xc0 ... 0xc1:     /* xadd */
4304                 emulate_2op_SrcV(ctxt, "add");
4305                 /* Write back the register source. */
4306                 ctxt->src.val = ctxt->dst.orig_val;
4307                 write_register_operand(&ctxt->src);
4308                 break;
4309         case 0xc3:              /* movnti */
4310                 ctxt->dst.bytes = ctxt->op_bytes;
4311                 ctxt->dst.val = (ctxt->op_bytes == 4) ? (u32) ctxt->src.val :
4312                                                         (u64) ctxt->src.val;
4313                 break;
4314         case 0xc7:              /* Grp9 (cmpxchg8b) */
4315                 rc = em_grp9(ctxt);
4316                 break;
4317         default:
4318                 goto cannot_emulate;
4319         }
4320
4321         if (rc != X86EMUL_CONTINUE)
4322                 goto done;
4323
4324         goto writeback;
4325
4326 cannot_emulate:
4327         return EMULATION_FAILED;
4328 }